tag 标签: debussy

相关博文
  • 热度 20
    2014-6-8 21:41
    2010 次阅读|
    0 个评论
      在FPGA设计过程中,仿真验证是一个很重要的步骤。通过仿真,可以在仿真环境下初步验证逻辑设计的合理性和正确性。 为后面的电路调试,铺平了道路。ModelSim是常用的仿真工具,仿真过程中进行调试使用Debussy是一个再好不过的选择啦! 使用Debussy可以观察信号的电平变化,查看数字接口的时序。让整个调试过程更加直观,问题的定位更加准确。闲言少叙,下面我就为大家来介绍本文的重点,使用Debussy添加工程的步骤。 1.首先大家要有安装Debussy软件,我这里以Debussy 5v9 示例。还要准备的就是一个完整的FPGA/RTL设计工程。 2.添加的原则是先define文件,然后自定向下进行添加。 于是先添加TB中的define文件;然后是TB,即:TestBench。 3.添加完TB顶层,就开始添加其子模块,可以先添加仿真模型,就是TB实例化的组件。还有实例化的DUT的所有RTL文件。其中一Task形式存在的TC是不需要添加的,因为他们都会被`include "...."进来。 4.打开一个波形窗口,从波形窗口中打开仿真生成的FSDB文件。 接下来就可以,添加你想要的信号了。鼠标左键选中信号,按“Ctrl+W”就可以将,信号添加到波形窗口中了。 开始享受你的仿真调试之旅吧! -------------------------------------------------------------------------------
  • 热度 15
    2012-12-6 10:43
    1685 次阅读|
    0 个评论
      一、概述         初次接触Debussy,在网上搜索了一些资料,发现Debussy的使用者并不多,edacn的论坛Modelsim主论坛中有个Debussy的子论坛,不过发现里面的人气并不高。另外,Novas公司现在推的是Verti,我使用的版本是2004年出的5.4V5,基于NT(Windows)平台的。          关于如何使用ModelSim进行仿真可以另外两篇关于ModelSim的文章,这里的体会是关于ModelSim结合Debussy使用的体会,所以使用Debussy前最好先学会如何使用ModelSim。网上也有介绍不要启动ModelSim GUI而直接在Debussy进行查看波形并调试的方法,本文最后也有介绍;如果确实不会使用ModelSim且又不愿学习的,可以使用此方法直接跳过ModelSim。          二、使用Debussy调试基本步骤        网上流传的使用Debussy来调试的通常用法是:        1、Load design and testbench into Debussy;        2、打开nWave,载入对应的FSDB;        3、在nTrace中将要观察的信号通过鼠标中键DragDrop拖放到nWave中;        4、通常都是在波形上发现问题,找到错误地方并双击鼠标,会自动跳到Real driver statement,也即会跳到源代码所在。        5、nTrace中,Active Annotation(快捷键X)标出仿真结果在source code下;        6、在先前driver statement中找个driver来使用active trace来追踪有效驱动。         当然还有更深入的用法比如:fan-in cone和 trace-x等等。           三、ModelSim结合Debussy进行仿真调试          这才是我要介绍的重点,我近几年使用Altera多一点,所以就结合ModelSim仿真Altera的库进行介绍(详细的如何用Modelsim仿真Altera的产品可查看另外两篇文章)。Debussy支持的波形格式是fsdb,是Fast Signal Database的简写。Debussy也可以读取vcd文件,在它读入vcd文件时,先自动把vcd文件转换成fsdb文件,然后再读入debussy。因此首先还是学习怎么通过modelsim仿真,生成fsdb格式的波形文件。而对于语言最近使用多的是VHDL,下面分VHDL以及Verilog进行描述。          基本的流程是:a、通过ModelSim生成FSDB格式的波形文件;b、在Debussy中导入设计进行调试(导入设计包括在nTrace中导入code(含testbench)和在nWave中导入FSDB文件)。          1、首先介绍Verilog语言,基本是节选网上大拿的体会,大家凑合着看。          a、通过ModelSim产生FSDB文件:将Debussy安装目录下的\share\PLI\modelsim_pli\WINNT中的novas.dll拷贝到modelsim安装目录下的win32文件夹中。然后在modelsim.ini文件中的 标签下添加Veriuser=novas.dll。这是准备工作。           有网友介绍可以在ModelSim仿真脚本中的 命令行加入这样调用pli的选项:          -pli  Debussy安装目录/share/PLI/modelsim_pli/WINNT/novas.dll         个人感觉还是拷贝过去简单。           b、准备工作做好以后,当然你的coding也已经结束,testbench也应该写好了。正常的ModelSim仿真流程是这时候直接在建立工程进行仿真,编辑sim.do和wave.do就可以了。如果结合Debussy,ModelSim的唯一功能就是产生Debussy可以识别的波形文件-FSDB文件了。          在测试平台(testbench)中添加FSDB系统函数,典型的可以把下列语句加到testbench中:           initial         begin $fsdbDumpfile("wave_test.fsdb");     //文件名随便起       $fsdbDumpvars;       end       这样波形数据就dump出来了。好多同学在单步调试的时候经常遇到说生成的FSDB文件中Deussy中不能用或者是signal加进去以后没有波形出来表现为NF,而且FSDB的文件大小这时候也表现的很小。很多同学怀疑是不是ModelSim或者Debussy运行有错误,其实这都是测试平台控制不当造成的,此时FSDB文件应该还没有生成好。就像我们通常要将TB中的内容输出到一个文件的时候要先创建这个文件,写完以后要及时关闭一样,产生FSDB文件同样先要创建文件(上面语句达到了此目的),然后写入波形数据,因此在外部调用FSDB文件之前要结束ModelSim仿真。我最后介绍的通过命令行脚本实现流水线生成FSDB文件,且不启动ModelSim GUI,可以完全避免这种操作失误。       2、其次介绍VHDL如何混合使用ModelSim和Debussy       a、将Debussy安装目录下的\share\PLI\modelsim_fli54\WINNT中的novas_fli.dll拷贝到modelsim安装目录下的win32文件夹中。然后在modelsim.ini文件中的 标签下添加Veriuser=novas.dll。编辑modelsim根目录下的modelsim.ini文件,将; Veriuser = veriuser.sl 更换为Veriuser = novas_fli.dll(注:“; Veriuser = veriuser.sl ”默认值ini文件中被注释掉,或者可以不管它直接添加即可,注意这里和Verilog的不同,另外请注意:Do not specify veriuser variable to both novas.dll and novas_fli.dll.)          b、将Debussy安装目录下的\share\PLI\modelsim_fli54\WINNT下的novas.vhd拷贝至工程所在目录,打开modelsim,在工程所在目录新建一个novas的LIB,然后编译novas.vhd文件。(对于这一步,我的做法是前期准备工作的时候就像提取Altera的库一样,将该库文件提取到ModelSim里,生成一个可以重复使用的novas库,这样就可以一劳永逸不要每个工程都要编译一次这个库)。     c、同样coding以及测试台建立完成之后,开始生产FSDB文件了。在testbentch中增加library novas;use novas.pkg.all;并在在testbentch中添加:        debussy_debug:process     begin                   fsdbDumpfile("**.fsdb");             fsdbDumpvars(0,"system");             wait;          end process debussy_debug; fsdbdumpfile("t1.fsdb");--此处的文件名可以随便取。 编译工程完成仿真,生成所需文件。 (注:此处 system 为顶层文件,所谓顶层就是testbench的顶层entity名,不能搞错) 这里有最原始的版本的ModelSim和Debussy混合使用的介绍:https://static.assets-stash.eet-china.com/album/old-resources/2009/5/20/91e75229-44d8-4b7e-beeb-fce36ecc5307.rar。   三、通过脚本自动化生成FSDB波形文件 通过二介绍的过程,进行自动化生成FSDB文件,其实非常简单。整个coding结束,且测试台建立完成后,创建一个do文件,这里给出一个例子:https://static.assets-stash.eet-china.com/album/old-resources/2009/5/20/7647ab65-9ae9-4cf5-b158-881788170cb3.rar  ;可以看到例子中没有库的编译,因为我前面说了,我把库的提取单独做为准备工作一劳永逸的完成。 有了do文件以后,就需要执行do文件,ModelSim有dos命令行或者GUI里有命令行可以执行do文件。本文的主要目的就是要完成“自动化”,所以以上两种方法都不可行,一个使用调出dos comand shell一个是要打开ModelSim GUI。我的做法是:做一个批处理文件,然后将它放在c盘的根目录下,然后执行一下批处理就全部OK了。这里给出一个批处理文件例子:https://static.assets-stash.eet-china.com/album/old-resources/2009/5/20/aeb9def1-fa9b-498a-b516-163fac8e3fef.rar。    do文件贴出来就是:    vlib work          vcom Accumulator_tb.vhd          vcom Accumulator.vhd          vsim Accumulator_tb         run 1000us         quit 说明:最后一句quit就是仿真结束。         批处理bat文件贴出就是: e:       cd E:\wmz\workspace\prj\debussy\pet\DataInProc\accumulator       D:\modeltech_6.5a\win32/vsim -c -do accumulator.do 说明:整个批处理就3个命令,第一条进入E盘(当然是进入工作盘了);第二条是进入当前工作目录;第三条是执行do文件,其中vsim命令一定要带-c参数,具体原因感兴趣的同学可以在打开ModelSim GUI后执行vsim -help查看帮助。
  • 热度 37
    2012-5-21 10:34
    2075 次阅读|
    0 个评论
    本文提供了一种利用debussy快速查看fpga工程代码的方法,特别适合对刚接触大工程或ip核进行查看,以便将精力最大限度的放到逻辑上而不是在各个.v中翻来覆去的找信号。   环境:windows xp             debussy 5.3   (debussy安装方法 http://blog.sina.com.cn/s/blog_40270a810100tii3.html)   写在前面的话:所谓快速是不跑出波形,直接能方便看代码的环境。 如果要看波形的话也可以在上面的网站上找到方法。   步骤:  1 拷贝hdl文件          我的文件夹结构一般是一个sim文件夹放工程一个hdl文件夹放代码   2制作file文件,即文件列表文件        比如说我建了一个debussy.f的文件,文件内容为        ./../hdl/DataFifo.v         .         .         .         ./../hdl/USB.v (./../表示工程文件夹上一层的文件夹,如果.v是在工程文件夹下直接USB.v即可)   3制作.bat脚本,比如run.bat       如果不看波形的话只需要三句话        @ECHO OFF          SET debussy=D:\Novas\Debussy\bin\Debussy.exe            ::路径是你debussy安装路径         %debussy% -f debussy.f -ssf debussy.fsdb -2001               EXIT     4制作一个假的.fsdb文件,比如随便建一个.txt文件改为debussy.fsdb即可。     5点击.bat文件。     这时候debussy可以做的事情:   1快速查看文档结构   2快速查看工程fsm   3快速跟踪信号      缺点: 1debussy早已不在window下更新了,所以现在用的老版本的对鼠标滚轮操作不支持。 2大工程对内存消耗较大,如果是大工程最好弄一个好机子来跑。      
相关资源
  • 所需E币: 5
    时间: 2020-11-17 22:07
    大小: 10.38MB
    上传者: xgp416
    [摘要]德彪西教程DebussyUserGuideandTutorial(英文版)AboutThisBookOverviewThisbookisdesignedtoallowyoutoquicklybecomeproficientintheDebussyKnowledge-BasedDebuggingTMsystem,themostadvancedHDLdebuggeravailable.Thebookshouldbereadfrombeginningtoend.Sectionsyouarealreadyfamiliarwithcanbeskipped.AudienceTheaudienceforthisbookincludeschipdesignerswhorequirefasterandmoreefficientautomateddebuggingtools.ThisdocumentassumesthatyouhaveabasicknowledgeoftheplatformonwhichyourversionofDebussyruns:Unix,Linux,orWindowsNT;andthatyouareknowledgeableinVerilogorVHDL,simulationsoftware,anddigitallogicdesign.
  • 所需E币: 4
    时间: 2020-1-6 12:10
    大小: 2.88MB
    上传者: wsu_w_hotmail.com
    HDLDebuggingwithDebussy……
  • 所需E币: 3
    时间: 2019-12-24 13:25
    大小: 129.7MB
    上传者: 238112554_qq
    Debussy是思源科技开发的HDL调试和分析工具,即时做trace,协助工程师debug。……
  • 所需E币: 5
    时间: 2020-1-9 15:19
    大小: 1.26MB
    上传者: 二不过三
    Debussy教学最佳教程,以及verilogVHDL...,Debussy教學……
  • 所需E币: 3
    时间: 2020-1-14 19:02
    大小: 654.99KB
    上传者: 2iot
    debussy详细教程,debussy……
  • 所需E币: 4
    时间: 2020-1-14 19:23
    大小: 430.42KB
    上传者: 238112554_qq
    ahan新年新奉献:Debussy使用指南(上)200603...,ahan新年新奉献:Debussy使用指南(上)20060302版本……
  • 所需E币: 5
    时间: 2020-1-14 19:25
    大小: 219.11KB
    上传者: 16245458_qq.com
    Debussy和MODELISM混合的使用,Debussy和MODELISM混合的使用……