原创 Modelsim仿真常用命令

2007-11-15 14:17 6951 8 8 分类: FPGA/CPLD

参考:ModelSim SE Reference Manual

vlib - 建立一个新的工作库。
如:vlib work    
    在当前目录建立逻辑库work,运行后会在当前目录下找到work文件夹。

vlog - 编译verilog文件
如:vlog +incdir+YOUR_SOURCE_PATH -work work foo.v
    编译foo.v文件到制定的逻辑库中,这里指定的是work库。+incdir+YOUR_SOURCE_PATH
    选项是指在verilog文件中出现`include  "xxx.v" 时包含文件的搜索路径,缺省是搜索当前路径,然后是YOUR_SOURCE_PATH指定的路径。
+define+<macro_name>[=<macro_text>]
    允许用户在命令行中定义宏定义,等效于编译器指令:
     `define <macro_name> <macro_text>
    用户可以指定多个宏定义,如下:
     vlog +define+one=r1+two=r2+three=r3 test.v
    命令行的宏定义会覆盖在源文件中用`define定义的相同名字的宏定义

vmap - 映射逻辑库名到指定的目录

vsim - 启动仿真
如:vsim -c -l vsim.log -do ./YourDo.do -L ./work work.foo
    开始仿真,-c 选项让vsim工作在commandline模式;-l 选项是输出log文件到vsim.log; -do 选项是开始仿真后运行tcl脚本文件;-L 选项是指定工作逻辑库;work.foo是仿真的top level module。



PARTNER CONTENT

文章评论0条评论)

登录后参与讨论
我要评论
0
8
关闭 站长推荐上一条 /3 下一条