原创 ModelSim之tcl自动化仿真

2011-4-2 09:43 3048 7 9 分类: FPGA/CPLD

      ModelSim的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。用tcl就可以自动完成建库、映射库到物理目录、编译源代码、启动仿真器、运行仿真等一系列操作。


     下面就结合实例简要说明操作步骤:
1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v
2、编写.do文件(camera_tb.do),内容如下:
# Create the work library
vlib work
vmap work work


# Compile the verilog files
vlog -work work camera.v
vlog -work work camera_tb.v


# Run simulation
vsim -lib work camera_tb
view wave
add wave sim:/camera_tb/*
run 55ms
3、编写.bat的批处理文件,用于在Windows cmd下运行整个ModelSim仿真。源码如下:
     vsim -do camera_tb.do
     保存文件为camera_tb.bat。
4、在Windows cmd中运行camera_tb.bat。
     注意:以上的这些文件(camera_tb.do,camera_tb.bat),最好存放在相应的工程目录下,以便于直接点击运行,否则在cmd命令窗口中还要将路径切换到相应目录。
在工程目录下直接点击camera_tb.bat运行即可。


     运行完成DOS窗口会显示如下:


     3b1dfcb4-5819-4422-bbf7-8e04fbfde5dd.jpg


     得到仿真结果如下:


     36defa31-d3ce-4fdf-bc13-a4abfb622c9c.jpg


    在命令窗口会得到以下信息:


    0cdb0c1c-436b-4598-870f-c0190fc3c1de.jpg


     在调试过程中,若对源文件进行了修改而需要重新运行仿真时,则只需要在命令窗口输入do camera_tb.do命令回车即可再一次自动完成整个仿真过程,十分方便。
     另外,若关闭DOS窗口,ModelSim也会随之一起关闭,它们两者是相互关联的,关闭任意一个另外一个也会随之关闭。

PARTNER CONTENT

文章评论2条评论)

登录后参与讨论

asus119_412419641 2012-6-7 19:54

是不是没有把所有相关的设计文件放在同一个目录文件下?或者是文件命名出现了问题而导致找不到顶层设计文件?

用户396978 2012-6-7 09:54

执行第三步 vsim -do camera_tb.do 出现# No design specified 怎么回事啊?
相关推荐阅读
asus119_412419641 2013-07-17 23:41
Allegro使用小结
1、解决Allegro在大鼠标模式下的拖影问题(尤其在Win7系统下) 大鼠标去掉拖影的设置方法:在env文件里面一句set infinite_cursor_bug_nt 注意:在pcbe...
asus119_412419641 2013-07-15 23:37
FPGA代码设计规范整理
  1、设计中的FIFO、状态机接口需要有异常恢复状态和状态上报机制,格雷码电路防止被综合电路优化掉。 a)自行设计的格雷码FIFO(一般用于连续数据流跨时钟域)用Synplify综合时,...
asus119_412419641 2013-07-15 22:03
FPGA代码设计规范整理
  1、设计中的FIFO、状态机接口需要有异常恢复状态和状态上报机制,格雷码电路防止被综合电路优化掉。 a)自行设计的格雷码FIFO(一般用于连续数据流跨时钟域)用Synplify综合时,...
asus119_412419641 2013-07-15 21:58
FPGA代码设计规范整理
  1、设计中的FIFO、状态机接口需要有异常恢复状态和状态上报机制,格雷码电路防止被综合电路优化掉。 a)自行设计的格雷码FIFO(一般用于连续数据流跨时钟域)用Synplify综合时,...
asus119_412419641 2013-06-30 23:28
Cadence Allegro导网表的错误问题解决
  在Allegro导入网表的时候,有时候会出现这样一个错误问题,如下:   ------ Oversights/Warnings/Errors ------   #1   E...
asus119_412419641 2013-04-24 17:22
[博客大赛]关于OrCAD Capture CIS导网表出现问题的解决方法
在Capture CIS中完成原理图编辑修改后,导出网表时,出现了以下错误:   #192 ERROR(ORCAP-36004): Conflicting values of part n...
EE直播间
更多
我要评论
2
7
关闭 站长推荐上一条 /3 下一条