blogspot貌似一时半会是开不了了 这貌似也还好
在chinahr上转一圈 只有几家要arm7 arm9的 fpga的前景在哪里啊
我自己的理解是 大概是把别人写好的算法写在自己的芯片里 以达到对电脑的辅助运算 或者脱离电脑完成单一功能 而monome就更无聊 只能形容为一个长得很好看的键盘 还有传说中向往着的可重构还摸不到门
牢骚也发了 可自学fpga还没入门
今天写了个加法器
----------先一个component--------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity fulladder is
port
(
a,b,c : in std_logic;
sum,carry : out std_logic
);
end fulladder;
architecture a of fulladder is
begin
sum <= a xor b xor c;
carry <= (a and b) or (c and b) or (a and c);
end a;
--------------------然后是主程序----------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity b4_adder is
port
(
a,b : in std_logic_vector (3 downto 0);
s : out std_logic_vector (3 downto 0)
);
end entity;
architecture a of b4_adder is
-- Declare registers to hold intermediate sums
signal c : std_logic_vector (4 downto 0);
component fulladder
port (
a,b,c :in std_logic;
sum,carry :out std_logic
);
end component;
begin
gf:for i in 0 to 3 generate
u0:fulladder port map (a(i),b(i),c(i),s(i),c(i+1)); --冒号前面的不知道干嘛用的 只知道删掉编译时候会报错
end generate;
c(0) <='0';
end a;
-------------------编译成功 万岁 就不烧芯片玩了---------------------
附件贴一个昨天写的四位计数器 已经烧过电路调通了的
../upload/2009/6/7/27cc0826-934a-492b-812a-563634418564.rar
--------------------------------
一条八卦 micorvision的总裁叫做ian brown 哈哈
唉 我觉得全世界也找不到我这么快乐的待业男青年
tengjingshu_112148725 2009-6-9 09:13