原创 【博客大赛】AVALON总线介绍

2017-8-19 10:38 3886 27 27 分类: FPGA/CPLD

1、AVALON总线简介

Avalon总线是一种协议较为简单的片内总线,是ALTERA公司定义的片上互联总线,该总线可以将诸如NIOS II的CPU与其他外设连接起来,进而进行数据交换。

AVALON总线支持简易版,高级版和超级版,超级版甚至可以直接对接一些诸如ROM,RAM,FLASH的外设。

AVALON总线信号有如下,有些总线信号在有些情况下可以不必使用。

2、AVALON slave从传输

在soc中从设备通常要占据很重要的地位,扮演者被别的模块访问的角色,如下图所示,他可以是慢速设备诸如uart,也可以是比较高速的dram,同样也可以是自定义的其他模块。

如下图所示的基本的从设备的读操作,在当前周期发起读请求read,片选请求chipselect,读地址address,在当前周期从设备应答读数据readdata

 

有时候从设备不一定能够在当前周期及时应答读请求,有可能延时一个周期,如下图所示。

 

如下图,如果从设备不能及时的响应度请求,可以选择利用waitrqst来进行延时操作,这样直到自身数据准备好之后将waitrqst拉低即可。

 

上面讲解了从设备的读操作,下面给出从设备的写操作。

 

主设备将写地址,写请求,写数据推送出去,从设备在当T无条件接受写数据。

下面是另一种带延时请求的操作,从设备如果不应答写操作,主设备必须一直保持写操作,直达从设备将wait rqst拉低。

 

上面简单介绍了AVALON总线的主从传输,由于是ALTERA公司的FPGA总线,使用面不是特别的广,因而介绍到此结束,如果有其他疑问或者不明白的地方可以参考《AVALON总线接口规范AVALON interface specification》。

文章评论0条评论)

登录后参与讨论
EE直播间
更多
我要评论
0
27
1
2
3
4
5
6
7
8
9
0
关闭 站长推荐上一条 /6 下一条