我的开发环境是:Quartus 8.0 + ModelSim-Altera 6.1g
1 这两个软件是别人买开发板的光盘中带的,其中Quartus 8.0 给了破解,可是ModelSim-Altera 6.1g 没给破解,所以这里提一下ModelSim-Altera 6.1g 的破解过程,参考http://download.ednchina.com/Detail/121272/。
2 Quartus 8.0新建一个工程, 然后编写两个文件如下:
顶层文件 “count4.v”源码为:
module count4(clk,reset,out); //4位计数器模块
input clk,reset;
output[3:0] out;
reg[3:0] out;
always @(posedge clk)
begin
if (reset)
out<=0;
else
out<=out+1;
end
endmodule
ModelSim仿真用到的测试文件“count_tp.v”源码为:(注意,该文件不加入工程中)
`timescale 1ns/1ns //定义时延单位1ns和时延精度为1ns(即精确到1ns)
module count_tp; //测试模块
reg clk,reset; //输入激励信号定义为reg型
wire[3:0] out; //输出信号定义为wire型
parameter DELY=100;
count4 mycount(.clk(clk),.reset(reset),.out(out)); //调用测试对象count4
always #(DELY/2) clk=~clk; //产生时钟波形
initial //激励波形定义
begin
clk=0;
reset=0;
#DELY reset=1;
#DELY reset=0;
#(DELY*20) $finish;
end
initial $monitor($time,,,"clk=%d reset=%d out=%d",clk,reset,out);//结果显示
endmodule
3 在Quartus 8.0中设置modelsim的路径:在Quartus 8.0中进入tools>options,出现options对话框,然后选择左侧的General下的EDA Tool Options ,右侧出现一下第三方软件的列表,这里选择ModelSim-Altera ,双击右侧,然后浏览ModelSim-Altera 6.1g的安装路径,我的是C:\altera\80\modelsim_ae\win32aloem,然后确定,OK。
4 为ModelSim仿真设置参数。选择Assignments | EDA Tool Settings…,选择左栏的Simulation
Tool name 选择ModelSim-Altera
Run gate-level.......... 前打钩,编译后自动进行门级仿真
Fomate for output netlist ,我这里是用Verilog
再往下,选择compile test bench ,然后点击右侧的test Benches,NEW,添加test bench文件count_tp.v,设置OK
5 在 Quartus 8.0下编译,完成后会自动启动ModelSim-Altera,有时会弹出一个对话框,选择NO,不finish。
下面可以体验ModelSim-Altera给你来了的仿真快感了!
文章评论(0条评论)
登录后参与讨论