在文章1中,使用了modelsim.INI文件,修改其路径
预先编译生成库文件。
最近一直想弄数字滤波器,遇到了问题?
怎么从matlab里面读入数据。
在此,先使用matlab生成数据,位宽为8位
131
134
137
140
143
146
149
152
155
158
161
164
167
170
173
176
179
182
185
187
190
193
195
198
201
203
206
208
。。。。。。。
顶层文件,只供测试用
module A2(clk,rst,datain,dataout);
input clk;
input rst;
input[7:0] datain;
output[7:0] dataout;
reg[7:0] dataout;
always@(posedge clk or negedge rst)
if(!rst)
dataout<=0;
else
dataout<=datain;
endmodule
testbench
`timescale 1ns/1ns
module A3;
reg[7:0] Din;
reg clock,rst;
wire[7:0] Dout;
reg[7:0] memory[0:255];
integer i;
reg[7:0] j;
always #50 clock =~clock;
initial//初始化
begin
clock=0;
rst=1;
#100 rst=0;
#1000 rst=1;
$readmemh("sin.txt",memory);
for(i=0;i<=255;i=i+1)
$display("memory[%d]=%h",i,memory);
end
always@(posedge clock)
if(!rst)
begin
Din<=8'b0;
j<=0;
end
else
begin
Din<=memory[j];
j<=j+1'b1;
end
A2 TEST(.clk(clock),.datain(Din),.dataout(Dout));
endmodule
读取结果
人工加载波形的话 还是比较麻烦的,特别是测试数据比较多的情况下
文章评论(0条评论)
登录后参与讨论