huotingtu_505472073
2010-11-5 08:07
【转】汽车传感器:需求促发展
随着汽车行业的快速发展,传感器在汽车上的应用也随之不断扩大,它们在汽车电子稳定性控制系统(包括轮速传感器、陀螺仪以及刹车处理器)、车道偏离警告系统和盲 ...
huotingtu_505472073
2010-11-5 01:20
【转】电机驱动模块的电路设计与实现
驱动电路采用H型桥式PWM脉宽调制驱动形式,如图3-1所示。电路主要由大功率三极管B772、D882、三极管8050和光电耦合器等元件组成。该驱动电路可控制电机的正 ...
huotingtu_505472073
2010-11-5 01:20
【转】用STATECAD快速设计有限状态机
数字系统通常划分为信息处理单元和控制单元。信息单元主要进行信息的传输和运算, 而控制单元的主要任务是控制信息处理单元的微操作的顺序。控制单元的实现方式有 ...
huotingtu_505472073
2010-11-5 01:19
【转】VHDL设计举例:一个简单的UART
---------------------------------------------------------------- -- -- Copyright (c) 1992,1993,1994, Exemplar Logic Inc. All rights reserved. -- ---- ...
huotingtu_505472073
2010-11-5 01:18
【转】VHDL在高速图像采集系统中的应用设计
现代化生产和科学研究对图像采集系统的要求日益提高。传统的图像采集卡速度慢、处理功能简单,不能很好地满足特殊要求,因此,我们构建了高速图像采集系统。它 ...
huotingtu_505472073
2010-11-5 01:18
【转】格雷码计数器的设计思路
我们知道格雷码计数的特点就是相邻的码字只有一个比特不同,那么我们在设计格雷码计数时找到这个比特取反就是了。找到这个比特的思路: 先将格雷码换算成二进制码 ...
huotingtu_505472073
2010-11-5 01:17
【转】Cadence的 EDA验证工具在 SOC设计中的应用
摘要: 本文介绍了Cadence公司的NC-Verilog/Verilog-XL Simulator以及Signalscan在SOC设计中的应用。 关键词: SOC,协同验证(co-verification) ...
huotingtu_505472073
2010-11-5 01:16
【转】常见EDA软件的license管理
大型工程软件如Ansys、Fluent、Unigraph、ProE等安装需要经过注册程序Flexlm才可以使用,而Flexlm中涉及到很多知识、技巧,也存在许多问题。本篇文章就是针对上 ...
huotingtu_505472073
2010-11-5 01:16
【转】EDA工具介绍
分类 产品名 制造商 VHDL/Verilog-HDL Simulator(仿真工具) Active-HDL 美国Aldec公司 VHDL/Verilog ...
huotingtu_505472073
2010-11-5 01:15
【转】VHDL 计数器源程序
十五计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY fiveteencout IS PORT(clk,reset,enable : IN std_logi ...
huotingtu_505472073
2010-11-5 01:14
【转】VHDL 编程的一些心得体会
VHDL 是由美国国防部为描述电子电路所开发的一种语言,其全称为(Very High Speed Integrated Circuit) Hardware Description Language。 与另外一门硬件描述语 ...
huotingtu_505472073
2010-11-5 01:13
【转】VHDL上机手册(基于Xilinx ISE & ModelSim)
1 ISE 软件的运行及ModelSim 的配置 2 创建一个新工程 3 创建一个VHDL源文件框架 4 利用计数器模板向导生成设计 *5 仿真 6 ...
huotingtu_505472073
2010-11-5 01:12
【转】高速异步FIFO的实现
摘要:采用一种新颖的异步FIFO 设计方案,解决FPGA 多时钟系统中不同时钟域传输数据的问题。该FIFO 实现方案比传统方式简单,工作速度频率高,如设计采用了Verilog ...
huotingtu_505472073
2010-11-5 01:12
【转】基于FPGA 的高阶全数字锁相环的设计与实现
摘要:提出了一种实现高阶全数字锁相环的新方法。该锁相环以数字比例积分控制取代了传统的一些数字环路滤波控制方法,具有电路结构简单、控制灵活、跟踪精度高 ...
huotingtu_505472073
2010-11-5 01:11
【转】基于VHDL 的全数字锁相环的设计
摘要:叙述了全数字锁相环的工作原理,提出了应用VHDL 技术设计全数字锁相环的方法,并用复杂可编程逻辑器件CPLD 予以实现,给出了系统主要模块的设计过程和仿真结 ...
关闭
站长推荐
/3