tag 标签: EUV

相关博文
  • 热度 2
    2019-12-4 18:42
    7069 次阅读|
    1 个评论
    DRAM技术的未来趋势和前景
    DRAM用于系统中的主存储器,当今最先进的设备基于大约18nm至15nm工艺。DRAM的物理极限约为10nm。研发部门正在努力扩展该技术,并最终将其替换为新的存储器类型。 DRAM制造商正在进入下一阶段的器件尺寸紧缩,但是随着存储技术接近其物理极限,他们面临着一些严峻的挑战。 到目前为止,还没有直接的替代方法。并且,在采用新解决方案之前,供应商将继续按比例缩小DRAM尺寸,并提高性能,尽管在当前1xnm节点体制下将逐步增加。并且在未来的节点上,部分但不是全部DRAM制造商将实现从传统光刻到超紫外(EUV)光刻的重大转变,以在Fab中生产。 无论有无EUV,DRAM供应商都面临更高的成本和其他挑战。但是,DRAM是系统中存储/存储层次结构的关键部分。在层次结构的第一层中,SRAM被集成到处理器中以实现快速数据访问。下一层DRAM用于主存储器。磁盘驱动器和基于NAND的固态存储驱动器(SSD)用于存储。 DRAM行业是一个巨大而艰难的市场。由于市场价格压力,DRAM供应商正处于低迷之中。然而,OEM仍希望更快的DRAM具有更大的带宽,以跟上5G和机器学习等新的数据密集型应用的冲击。 作为响应,DRAM供应商正在朝着新的更快的带宽规范发展。但是供应商不再按照传统的速度扩展或缩小DRAM,在每个节点上,DRAM的比例大约为30%。实际上,DRAM缩放正在减慢,这会影响面积密度和成本。在DRAM中,节点由存储单元的有源或主体的半节距指定。 如今,供应商正在以1xnm节点机制交付三款先进的DRAM产品。这三代DRAM没有指定数字节点。业界将它们简称为1xnm,1ynm和1znm。 然后,在研发中,供应商在路线图上又扩展了三代DRAM,全部都是1xnm节点制。这些被称为1anm,1bnm和1cnm。1anm DRAM计划于2021年或更早推出。 总而言之,DRAM在扩展方面仅取得了适度的增长,并停留在1xnm节点状态。但是与普遍看法相反,DRAM并没有用尽。“我们还没有完成。我们认为路线图不会完全关闭。美光科技公司DRAM产品工程高级总监Debra Bell说。“几年来我们的视线很清晰。然后,我们还有其他想法。我们正在讨论并对此进行评估。” 尽管如此,业界在扩展此存储器方面仍面临若干挑战。目前尚不清楚DRAM是否可以扩展到10nm以上。 但是,业界在DRAM这一竞技场上还是有大量的措施和行动: 来自中国的DRAM供应商将在今年进入市场。 供应商正在交付具有下一个接口规格的DDR5 DRAM,称为DDR5,它可以加快设备中的数据传输速率。 在未来的DRAM节点上,三星和SK海力士计划插入EUV进行DRAM生产。但是,美光科技计划在先进的DRAM节点上扩展当今的光刻技术。 在研发中,供应商正在研究将DRAM扩展到10nm以上的技术。此外,供应商正在开发几种可以替代DRAM和闪存的新存储器。 DRAM前景 随着IC市场的长期不景气,2019年全球DRAM销售额预计将达到620亿美元,低于2018年的994亿美元。根据VLSI Research的数据,预计2019年整个IC市场将下降12.9%。 但是,今天,代工业务正在升温,显示出复苏迹象。IBS首席执行官汉德尔·琼斯(Handel Jones)表示:“在DRAM方面,明年我们会迅速回升。” “正在发生的是价格正在稳定。” 此外,DRAM内容在服务器和智能手机等系统中继续增长。美光公司表示,智能手机的平均DRAM内容将从2018年的3GB增加到2019年的4GB。人工智能,数据和视频的爆炸式增长推动了这种增长,而人工智能,数据和视频的爆炸式增长需要更多的内存来帮助在系统中存储和传输信息。 同时,根据TrendForce的数据,在DRAM市场上,三星在2019年第二季度以45.5%的份额领先,紧随其后的是SK海力士(28.7%)和美光(20.5%)。几家台湾DRAM供应商所占份额很小。 在2019年,中国的DRAM供应商将进入市场,但一段时间内不会成为一个因素。预计国内一家供应商长信存储技术有限公司将在年底前增加DRAM。在某个时候,清华紫光集团希望进入DRAM业务。国内另一个充满希望的公司,JHICC(也称为福建金华集成电路有限公司)倒闭了。 但是,DRAM是系统中的关键构建块。DRAM既快速又便宜,但也有一些缺点。DRAM和SRAM是易失性存储技术,这意味着在系统电源关闭时它们会丢失数据。相比之下,闪存是非易失性的,这意味着在系统关闭时它会保留数据。 DRAM本身基于一晶体管,一电容器(1T1C)存储单元架构。数据作为电荷存储在电容器中,该电容器被指定为“ 0”或“ 1”。晶体管控制对数据的访问。 DRAM的微小电容一晶体管设计使其非常适合将众多存储单元封装到很小的区域,以实现高密度和高存储容量。实际上,数十亿个DRAM单元可以被压缩到单个存储芯片上,” Lam Research的高级技术总监Alex Yoon解释说。 DRAM单元以固定方式组织。这些单元成排排列,并具有位线结构,该位线结构连接到称为字线的存储地址中。该地址提供了一种识别数据存储位置的方法,字线形成了一条电气路径,使该行上的所有存储单元都可以同时被激活以进行存储(写)或检索(读)。” 。 图1:单个存储单元和阵列。资料来源:林氏研究 但是,随着时间的流逝,当晶体管关闭时,电容器将泄漏或放电。因此,电容器中存储的数据必须每64毫秒刷新一次,这会消耗系统功耗。 在每个节点上缩放或缩小DRAM单元也变得越来越困难。Applied Materials存储器技术董事总经理Gill Lee 在博客中说:“有了DRAM,几何横向缩放仍在继续,但是它正在放缓,并且如3D NAND一样,需要进一步的材料创新。” 缩放电容器是一个障碍。“在电池电容缩放方面,长宽比是一个挑战,”美光的Bell说。DRAM的另一个关键的缩放挑战是从电容器到数字线的电荷共享。这是您的时序规格,将电荷移至数字线上需要多少时间,然后可以将数字线制作多长时间的综合。所有这些因素都会影响扩展和扩展的挑战。” DRAM基于堆叠式电容器架构,其中电容器被连接并驻留在凹陷的沟道阵列晶体管结构上。 电容器是垂直的圆柱状结构。在圆柱体内部,电容器结合了金属-绝缘体-金属(MIM)材料叠层。该绝缘体基于二氧化锆高k材料,从而使该结构可在低泄漏时保持其电容。 在DRAM流中,首先制造晶体管,然后制造电容器。在每个节点处,目标是保持或增加圆柱形电容器内部的体积。但是在每个节点处,电容器都会收缩,这可能导致结构内部的体积减小。这等于存储电容器中的单元电容较小。 在20nm时,该行业在电容器缩放方面遇到了障碍。作为回应,三星开发了一种新的蜂窝电容器单元布局技术,起始于20nm。 传统上,微小的圆形电容器单元并排放置在结构的表面上。相比之下,三星将表面的细胞交错排列,类似于蜂窝状布局。这样就可以使用直径更大的更高电容器。使用相同的介电材料,蜂窝结构的单元电容比以前的版本大21%。 为了在工厂中制造这些结构,三星使用了193nm浸没式光刻和自对准双图案(SADP)工艺。在流中,先在表面上构图出孔,然后再蚀刻。重复该过程。沉积金属,然后使用原子层沉积(ALD)沉积高k材料。 扩展DRAM 利用晶圆厂中的这些技术和其他技术,三星,美光和SK海力士扩展了DRAM,并超越了20nm。 这并不容易。例如,图形化对准良好的电容器孔具有较大的挑战性。以高深宽比蚀刻电容也很困难。TechInsights的分析师Jeongdong Choe说:“ ALD和干法蚀刻都很难。“但是,在缩小的DRAM单元阵列上,非常薄且均匀的高k电介质沉积变得越来越重要。” 从2016年开始,供应商开始采用1xnm节点制,其中供应商在路线图中拥有三种DRAM产品(1xnm,1ynm和1znm)。最初,将1xnm节点定义为具有17nm至19nm几何形状的DRAM,1ynm是14nm至16nm,1znm是11nm至13nm。 今天,一些供应商已经放宽了扩展规格,在市场上造成了一些混乱。有些DRAM符合这些规格,而另一些则不符合。最重要的是,DRAM单元大小略有不同,大约为6F2。像元大小等于要素(F)大小乘以四平方。 总而言之,供应商正在逐步转移到1xnm节点体系,有时是1纳米接1纳米的逐步缩减。即使这样,供应商仍然能够在某种程度上减小模具尺寸。 2016年,三星发布了业界首个1xnm DRAM,即18nm器件。8Gbit器件比2xnm器件快30%,功耗更低。它还包含DDR4接口标准。双倍数据速率(DDR)技术在设备的每个时钟周期两次传输数据。DDR4的最高运行速度为3200Mbps。 如今,与此同时,DRAM供应商正在向下一个节点1ynm扩展设备。通常基于15纳米及以上工艺的1纳米DRAM将占今年出货量的大部分。IBS的琼斯说:“到今年年底,三星的GB容量的70%将为1纳米。” SK Hynix最近推出了16Gbit 1ynm DRAM,其密度是先前8Gbit版本的两倍。该设备还采用了新的DDR5接口标准。 最初,DDR5支持5200Mbps,比DDR4快60%。DDR5最高可支持6,400Mbps。 其他公司也正在发售DDR5 DRAM。移动版本称为LPDDR5。DDR4仍然是主流技术,尽管出于多种原因需要DDR5 / LPDDR5。 多年来,处理器供应商已转向多核CPU体系结构。但是,每核内存带宽几乎没有跟上。 OEM希望DRAM具有更快的数据传输速率。这就是DDR5的合适地方。“在这里,您可以获得带宽和容量。我们希望能够通过CPU内核进行扩展。考虑一下CPU内核数。在过去十年中,它已经上涨了约8倍。显然,内存必须紧跟潮流,才能跟上整体计算性能的步伐。”三星销售与营销高级副总裁Jim Elliott在最近的一次演讲中表示。 同时,下一个战场发生在下一个节点-1znm。美光是第一家出货1znm DRAM的供应商,其次是三星和SK Hynix。这些设备基于DDR4或DDR5规范。 每个供应商都声称在1znm具有领导地位。但并非所有部分都一样,并且缩放规格也有所不同。IBS的琼斯说:“现在有很多行销活动。” 除了1znm之外,供应商在路线图上还拥有三层以上规模的DRAM(1anm,1bnm和1cnm)。供应商尚未透露这些零件的细节,这些零件仍处于1xnm节点状态。 供应商在1安乃至更远的地方采取了不同的途径。在那些节点处,特征较小,且具有更多的遮罩层。为了简化过程,DRAM行业首次将EUV投入生产。 例如,SK Hynix计划在1anm使用EUV,该技术将于2021年面世。“三星在1z完成了对DRAM的EUV测试。但是,他们不会将EUV用于1z量产。相反,他们也许可以将其用于1a或1b批量产品。” TechInsights的Choe说。 EUV光刻扫描机使用13.5nm波长,以13nm分辨率对特征进行图案化。但是EUV是一项复杂的技术,比投入生产所需的时间更长。 不过,最近,三星和台积电已经在7nm逻辑节点上投入了EUV的生产,而R&D为5nm。DRAM是EUV的下一个产品。“使用EUV,您可以获得更好的图案保真度。这些掩模层堆叠得越多,得到的图像就越模糊。” VLSI Research首席执行官Dan Hutcheson说道。 但是,并非所有人都转向EUV。在先进的DRAM节点上,美光计划将193nm浸没式光刻和SADP扩展到1bnm。对于1cnm,四倍图案正在开发中。 “我们正在继续评估EUV,”美光的Bell说。“我们的确相信,我们的音高乘法过程不仅具有竞争力。我们看不到EUV立即被拦截。” 这不是什么大惊喜。美光以尽可能延长给定的光刻技术而闻名。VLSI的Hutcheson说:“他们已经学会了如何极其节俭地使用工具,以及如何从中获得更多的生命。” “他们推动自己比任何人都更加努力。” 扩展DRAM将需要超过EUV。今天的1T1C DRAM可能会再延长几年,但可能会在12nm到10nm的范围内耗尽。 因此,业界正在寻找以4F2单元尺寸将DRAM扩展到10nm以上的方法。TechInsights的Choe说:“垂直门以及无电容器的1T DRAM单元是4F2的候选产品。” 这里存在一些挑战,特别是对于类似于3D结构的垂直栅极沟道晶体管。三星首席工程师Dongsoo Woo在最近的演讲中说:“问题在于字线到字线的耦合以及位线到位线的耦合。” DRAM替代品? 同时,多年来,业界一直在开发几种可以替代DRAM和闪存的下一代存储器类型。 如今,供应商正在发售相变存储器(PCM),ReRAM和STT-MRAM。其他内存技术也在研发中。 下一代存储器具有快速,非易失性和无限的耐用性。但是这些新记忆还依赖于奇特的材料和复杂的转换机制,因此它们需要花费更长的时间来开发。另外,新的内存类型更昂贵。 每个新的内存类型都不同。PCM以非晶相和结晶相存储信息。STT-MRAM利用电子自旋的磁性。ReRAM通过改变材料的电阻来工作。 如今,PCM和STT-MRAM设备用于SSD的某些部分。在系统的某些但并非全部部分中,它们代替了DRAM。因此,可以肯定地说它们并没有完全取代DRAM。 UMC产品营销总监David Hideo Uriu表示:“目前,我们看不到任何可以直接替代DRAM的下一代存储器。” “我们确实看到通过使用MRAM替代SRAM 。但是对于持久替换DRAM的目标,我们只能看到“混合缓存” DRAM / MRAM组件。” STT-MRAM本身正在取得进展。“ MRAM技术将继续改善并更接近永久性存储器的目标。MRAM是最接近DRAM速度和性能的技术。“鉴于读取数据的速度接近DRAM,某些应用程序可能能够将其用作某些DRAM的替代品。再次,以“混合”形式,DRAM将用于缓存MRAM存储区域并提高性能,从而在某些应用中替代DRAM。” 结论 可以肯定的是,下一代内存类型很有希望。但是这些产品仍处于早期阶段。 在此之前,DRAM仍然存在并且运转良好,并且至少在可预见的将来,它可能还会存在。但是究竟有多久仍是一个未知数。 本文译自: Semiconductor Engineering ,原文链接:semiengineering.com/dram-scaling-challenges-grow
  • 热度 18
    2013-7-19 18:20
    2799 次阅读|
    0 个评论
    As has been the case for the past several years, apprehension over the development of extreme ultraviolet (EUV) lithography was one of the oft-repeated themes at this year's Semicon West fab tool tradeshow in San Francisco, United States. "EUV is the highest priority for our industry," said Luc Van den Hove, president and CEO of European microelectronics research institute Imec, in a panel discussion at the event. In May, Dutch lithography vendor ASML finalized a deal to acquire lithography source vendor Cymer for about $2.6 billion. The deal is largely considered an attempt by ASML to take a firmer hand in the development of an EUV source. Cymer is one of three developers trying to create a source that would be powerful enough to support commercial production throughput of an EUV lithography tool. In February, at the SPIE Advanced Lithography Conference, ASML showed data indicating that it had raised the maximum power on its power source to 55 watts. Ryan Young, a spokesman for ASML, said this week that 55 watts would be enough to support EUV tool throughput of about 43 wafers per hour. More significant, according to Young, is that ASML has shown the power source can support a maximum power of 50 watts for long periods of time. Young also noted that the development work is being done on ASML's pre-production EUV machine, the NXE:3100. When ASML transfers the source to its NXE:3300 EUV tool, which has a larger drive laser, the 50 watts will become 80 watts, enough to support throughput of more than 60 wafers per hour. Young added that improving source power—while the most significant stumbling block to putting EUV in production—is only one place where ASML needs to make progress. ASML will continue working on improving the raw power of the source, but also focus on other needed improvements in the power source around availability and dose control. ASML's latest goal is to be able to support a throughput of about 70 wafers per hour sometime in 2014. According to Young, that's roughly the throughput threshold that most customers say would make it worthwhile to adopt EUV. "But every customer's process is different," he added. Chipmakers would ideally prefer EUV tools with a throughput of 100 to 150 wafers per hour to make production cost effective. Some say a tool throughput of 60 to 80 wafers per hour would be a sufficient starting point. The original plan was for EUV to be in production years ago, but development hiccups have pushed out the technology multiple times. ASML has six pre-production EUV development tools currently in the field. Intel—which last year acquired a 15 per cent stake in ASML and ponied up additional funds specifically for the development of EUV in a deal worth a total of $4.1 billion—has been hoping to deploy EUV lithography at the 10 nm node in the second half of 2015. Intel also said it would be prepared to extend optical immersion lithography to that node in the event that EUV is not ready. Samsung and TSMC have entered into similar deals with ASML. Ludo Deferm, executive vice president of business development at Imec, said this week that extending optical immersion to that node may not be economically feasible. Because extending optical immersion to 10 nm could require three or more exposures—and thus three or more photomasks for critical layers—the costs involved would increase exponentially. But Deferm told us Imec is confident that EUV will eventually be put in production. "We are confident that it will come," he said. "I don't have a crystal ball, either. We can't predict the future."   Dylan McGrath EE Times  
  • 热度 14
    2012-7-28 13:01
    2319 次阅读|
    0 个评论
    Proponents of extreme ultraviolet (EUV) lithography were reasonably encouraged a few weeks ago when Intel Corp. entered into a $4.1 billion equity and funding deal to help boost RD efforts for 450-mm and EUV lithography tools . "It's the best news I've heard in a long time," said David Brandt, senior director of euv marketing and business development at Cymer Inc., a long-time source developer for ASML and the front-runner in working with the Dutch lithography vendor to improve source power for EUV tools. Intel already had a vested stake in making sure that EUV development remains on track to put the technology into production, even if much later than Intel had originally hoped. Intel and the rest of the thinning ranks of leading-edge chip makers have every reason to want cost-effective EUV lithography to save them from the pain and expense of extending 193-nm optical lithography to the 10-nm node and beyond. Brandt and others took Intel's willingness to put skin in the EUV game as a vote of confidence in the viability of the oft-delayed technology. But Intel's wallet alone won't bring EUV into production. Though incremental progress on the EUV development front has been reported over the past week, there remains—as there has since the technology landed on the ITRS roadmap—work to be done. EUV is, at best, still years away from volume production and remains no slam dunk. In a presentation at last week's Semicon West tradeshow, Franklin Kalk, chief technology officer at Toppan Photomasks Inc., said source power, mask defectivity and photoresist performance remain the three issues still facing volume production of chips using EUV. But, Kalk said, source power remains the main hurdle, as it has for some time. Three years ago, Kalk said, mask defectivity was considered the main stumbling block for EUV, and thus the burden of Toppan and its competitors. But in the past couple of years, the failure to develop a source powerful and reliable enough to provide adequate tool throughput has taken centre stage. "I'm hoping that source power gets high enough that they start complaining about the masks again." Chip makers want EUV tools with a throughput of 100 to 150 wafers per hour to make production EUV cost effective. Some say a tool throughput of 60 to 80 wafers per hour would be a sufficient starting point. Even that type of throughput remains out of reach for now, though AMSL CEO Eric Meurice said this week that research progress indicates that EUV throughput is on pace to reach 70 wafers per hour in 2014 and 125 wafers per hour in 2016. More incremental progress Other EUV progress has also been reported in the previous weeks: On source power: Nigel Farrar, vice president of technical marketing at Cymer, said Cymer has now achieved about 50 watt expose power on its HVM I source using a pre-pulse—which conditions the target prior to the main pulse—at full repetition rate using closed loop controls. (Back in February, Cymer also reported average power of 50 watts, but that was in open loop testing, minus the power-reducing controls placed on systems in the field to improve stability.) Meurice said the potential for 105 watts has been confirmed in lab experiments, supporting ASML's roadmap to volume production starting at 70 wafers per hour in 2014 and upgradable to 125 wafers per hour in 2016. He cautioned that in-situ experiments, as opposed to lab experiments, are still necessary to confirm this roadmap. Even if ASML stopped development now, Meurice said the lab data demonstrated that ASML's NXE:3300—the production tool successor to the pre-production NXE 3100 systems installed at several customer sites—would support throughput of 30 to 40 wafers per hour based on its superior architecture and energy efficiency. (Halting development, of course, is not the plan). Source availability: Farrar said Cymer's HVM I sources have been running at about 70 per cent availability for the past two quarters, up from 50 per cent in prior quarters. Only about 10 per cent of the downtime was unscheduled, the rest was for planned maintenance. Source collector durability: Cymer has demonstrated stable reflectivity for its EUV source collector over more than 30 billion pulses. Collector reflectivity is a key issue because replacing the collector is a major undertaking and the collector's performance will degrade over time. Cymer does not know how long the source can last between replacements, but 30 billion pulses over more than a year is considered encouraging. Mask defectivity: Kalk acknowledged that EUV masks will not be defect-free. Due to the complexity involved, every EUV mask blank will have defects, an multi-layer mask blank defects cannot be repaired, Kalk said. But masks must be "defect free enough" to work, he said. In the case of memory, design patterns are redundant enough that the mask can be shifted and rotated accordingly in order to write the pattern around the defects—if mask makers know where they are, according to Kalk. Improvement in both blank and mask inspection tools, as well as mask writer accuracy, is required, Kalk said. Mask durability: No one will know exactly how long an EUV mask will last until they are used in high volume production. Kalk said different mask durability issues—including the appearance of haze on a mask and, later, mask absorber degratdation—arose in the first six years or so after the introduction of 193-nm lithography, depending on the number of exposures for a mask. "We are going to encounter issues," Kalk said. 'I don't even know what they are yet, but we are going to encounter them." Tools needed: The full tool kit for EUV masks won't be ready until about 2018, according to Kalk. He said development is needed on blank and mask inspection tools, as well as the Carl Zeiss EUV actinic aerial image metrology system (AIMS) for reticle defect and printability analysis. Kalk said that EUV insertion can occur before the full mask tool kit is in place, but that a "bridge strategy" will be required. As manufacturing eventually ramps up, new issues will arise he said.  
  • 热度 14
    2012-7-20 09:01
    1354 次阅读|
    0 个评论
    电子发烧友网讯: 光刻设备厂商ASML Holding NV的CEO Eric Meurice 宣称该公司已经投入到下一代元紫外线光刻技术(EUV)设备的研发中,同时保证其生产能力能够达到客户的需求。   大部分厂家认为EUV光刻是实现微型化电路的必备技术,这项技术已经研发了差不多十年,但目前仍然被其低功率光源困扰。   Meurice在一个讨论公司第二季度的财报的财务分析的会议上提到,他们会提供更多EUV的信息,以便公司更深入了解其将推出的商用EUV设备NXE:300。这是头11部相关工艺开发的设备,能够处理300mm直径的晶圆。   但短期内ASML对生产能力进行太多的承诺,Meurice声称到年底的时候,每个小时加工的晶圆或许只有70片,但目前已经有规划,到2014年每小时的盛长亮可以达到70片,而到2016,这个数字会上升到125。当客户需要450mm的加工设备时,可以增加10%的价格,从而由ASML获得相对应的产品,Meurice强调。   Meurice继续说到ASML总共有11台NXE:3300提供订购,但目前来说这些设备在2013年前都未能够交付给客户。他继续说到首台设备会在十月或十一月在ASML组装完成。   2013年推出的 NXE:3300系统只能用来发展制作工艺技术,但给ASML在当年带来大概8亿欧元的收益。   Meurice说道,为EUV所寻找的适合光源已经在鉴定一段时间了,同时供应商已经多次证明50瓦特光源和概念上是105瓦特的光源已经在实验室试验中得到确认。他继续强调,到2014年这些会给平台带来每小时70片晶圆的产能,而两年后这个数字就会上升到125。   NXE:3300在原地的实验必须确认这点,同时Meurice声称公司到下一个夏天会确认这些发展路线。   这种发展的观点使我们得到了顾客的认可,从而购买了四台额外的NEE:3300系统,这样的话,总共就有15台设备在准备,到2014年,它们将会是首批能够工作在EUV的半导体设备。   ASML的高管继续确认额外的四台NXE:3300设备是被一家DRAM厂家预定,同时他们正在和另外的DRAM厂家洽谈,以卖出更多的设备,这些都会在2014年交付。与此同时,他们正与一个逻辑方面的公司洽谈更多的设备提供,这估计会在2015年移交。Meurice声称,他们正在筹划14/12/11nm节点的产品,因为无论在任何地方,14/12/11mm的产品都会被当做同一类型的工艺。   当被问到NEX:3300的最初产能是多少的时候,Meurice解析道头11部机器并没有行相关的规定。但以后的设备会有一个固定的限制,   我们能看到的最小差能是每小时30片,如果我们在第一步机器面前碰到问题,例如耗费更多工作去稳固控制机构,这通常会花费两三个月甚至半年的时间,这样的话我们怎么去要求生产能力从30片每小时发展到70。 电子发烧友网讯: 光刻设备厂商ASML Holding NV的CEO Eric Meurice 宣称该公司已经投入到下一代元紫外线光刻技术(EUV)设备的研发中,同时保证其生产能力能够达到客户的需求。   大部分厂家认为EUV光刻是实现微型化电路的必备技术,这项技术已经研发了差不多十年,但目前仍然被其低功率光源困扰。   Meurice在一个讨论公司第二季度的财报的财务分析的会议上提到,他们会提供更多EUV的信息,以便公司更深入了解其将推出的商用EUV设备NXE:300。这是头11部相关工艺开发的设备,能够处理300mm直径的晶圆。   但短期内ASML对生产能力进行太多的承诺,Meurice声称到年底的时候,每个小时加工的晶圆或许只有70片,但目前已经有规划,到2014年每小时的盛长亮可以达到70片,而到2016,这个数字会上升到125。当客户需要450mm的加工设备时,可以增加10%的价格,从而由ASML获得相对应的产品,Meurice强调。   Meurice继续说到ASML总共有11台NXE:3300提供订购,但目前来说这些设备在2013年前都未能够交付给客户。他继续说到首台设备会在十月或十一月在ASML组装完成。   2013年推出的 NXE:3300系统只能用来发展制作工艺技术,但给ASML在当年带来大概8亿欧元的收益。   Meurice说道,为EUV所寻找的适合光源已经在鉴定一段时间了,同时供应商已经多次证明50瓦特光源和概念上是105瓦特的光源已经在实验室试验中得到确认。他继续强调,到2014年这些会给平台带来每小时70片晶圆的产能,而两年后这个数字就会上升到125。   NXE:3300在原地的实验必须确认这点,同时Meurice声称公司到下一个夏天会确认这些发展路线。   这种发展的观点使我们得到了顾客的认可,从而购买了四台额外的NEE:3300系统,这样的话,总共就有15台设备在准备,到2014年,它们将会是首批能够工作在EUV的半导体设备。   ASML的高管继续确认额外的四台NXE:3300设备是被一家DRAM厂家预定,同时他们正在和另外的DRAM厂家洽谈,以卖出更多的设备,这些都会在2014年交付。与此同时,他们正与一个逻辑方面的公司洽谈更多的设备提供,这估计会在2015年移交。Meurice声称,他们正在筹划14/12/11nm节点的产品,因为无论在任何地方,14/12/11mm的产品都会被当做同一类型的工艺。   当被问到NEX:3300的最初产能是多少的时候,Meurice解析道头11部机器并没有行相关的规定。但以后的设备会有一个固定的限制,   我们能看到的最小差能是每小时30片,如果我们在第一步机器面前碰到问题,例如耗费更多工作去稳固控制机构,这通常会花费两三个月甚至半年的时间,这样的话我们怎么去要求生产能力从30片每小时发展到70。     光刻设备厂商ASML Holding NV的CEO Eric Meurice 宣称该公司已经投入到下一代元紫外线光刻技术(EUV)设备的研发中,同时保证其生产能力能够达到客户的需求。   大部分厂家认为EUV光刻是实现微型化电路的必备技术,这项技术已经研发了差不多十年,但目前仍然被其低功率光源困扰。   Meurice在一个讨论公司第二季度的财报的财务分析的会议上提到,他们会提供更多EUV的信息,以便公司更深入了解其将推出的商用EUV设备NXE:300。这是头11部相关工艺开发的设备,能够处理300mm直径的晶圆。   但短期内ASML对生产能力进行太多的承诺,Meurice声称到年底的时候,每个小时加工的晶圆或许只有70片,但目前已经有规划,到2014年每小时的盛长亮可以达到70片,而到2016,这个数字会上升到125。当客户需要450mm的加工设备时,可以增加10%的价格,从而由ASML获得相对应的产品,Meurice强调。   Meurice继续说到ASML总共有11台NXE:3300提供订购,但目前来说这些设备在2013年前都未能够交付给客户。他继续说到首台设备会在十月或十一月在ASML组装完成。   2013年推出的 NXE:3300系统只能用来发展制作工艺技术,但给ASML在当年带来大概8亿欧元的收益。   Meurice说道,为EUV所寻找的适合光源已经在鉴定一段时间了,同时供应商已经多次证明50瓦特光源和概念上是105瓦特的光源已经在实验室试验中得到确认。他继续强调,到2014年这些会给平台带来每小时70片晶圆的产能,而两年后这个数字就会上升到125。   NXE:3300在原地的实验必须确认这点,同时Meurice声称公司到下一个夏天会确认这些发展路线。   这种发展的观点使我们得到了顾客的认可,从而购买了四台额外的NEE:3300系统,这样的话,总共就有15台设备在准备,到2014年,它们将会是首批能够工作在EUV的半导体设备。   ASML的高管继续确认额外的四台NXE:3300设备是被一家DRAM厂家预定,同时他们正在和另外的DRAM厂家洽谈,以卖出更多的设备,这些都会在2014年交付。与此同时,他们正与一个逻辑方面的公司洽谈更多的设备提供,这估计会在2015年移交。Meurice声称,他们正在筹划14/12/11nm节点的产品,因为无论在任何地方,14/12/11mm的产品都会被当做同一类型的工艺。   当被问到NEX:3300的最初产能是多少的时候,Meurice解析道头11部机器并没有行相关的规定。但以后的设备会有一个固定的限制,   我们能看到的最小差能是每小时30片,如果我们在第一步机器面前碰到问题,例如耗费更多工作去稳固控制机构,这通常会花费两三个月甚至半年的时间,这样的话我们怎么去要求生产能力从30片每小时发展到70。