热度 26
2014-11-21 08:42
2735 次阅读|
6 个评论
Debussy与Modlesim的共处一个程序 Modlesim与Debussy的安装步骤网上一大把,我就不累述了。重点是在win7 64位系统下安装时需要下载msvcp71.dll、msvcr71.dll这两个文件放在C:\windows\sysWOW64下。其他系统还好,按照步骤来安装就可以了。附件上带了debussy的安装文件和msvcp71.dll、msvcr71.dll文件。发现上传文件不能超过5M,那只能传后面的添加文件了,有需要同学可下载,免得在网上找很久。 使用方法: 配置几个文件,入下图所示,其中rtl文件夹中放的需要运行的.v文件,包括测试程序。这里要注意的是testbench中endmodule前面要添加几句程序,作用是显示波形: 编写HDL文件列表文件,供ModelSim和Debussy使用。 如下: 3、编写ModelSim命令行脚本文件。 如下: vlib work vlog -f rtl.f vsim work.cmd_control_tst run 1000ms quit 、编写批处理脚步文件,调用命令行ModelSim生成波形文件,再调用Debusyy查看。 如下: 关闭回显 @ECHO OFF ::设置软件路径 //软件路径根据实际自己安装路径来定 SET debussy=C:\Novas\Debussy\bin\Debussy.exe SET vsim=D:\modeltech_6.5g\win32\vsim.exe ::ModelSim Command %vsim% -c -do sim.do ::删除ModelSim生成的相关文件 RD work /s /q DEL transcript vsim.wlf /q ::Debussy Command %bussy% -f rtl.f -ssf wave.fsdb -2001 ::删除波形文件 DEL wave.fsdb /q ::删除Debussy生成的相关文件 RD Debussy.exeLog /s /q DEL debussy.rc /q ::退出命令行 EXIT 5、双击运行run.bat 即可出来波形,并且进入debussy界面下进行调试。 重点就是利用他们两结合在debussy界面下进行调试,能很好的看到波形及信号的时刻变化,在使用中会有更深刻的体验。