原创 Debussy与Modlesim的共处一室

2014-11-21 08:42 2735 20 26 分类: FPGA/CPLD

Debussy与Modlesim的共处一个程序

Modlesim与Debussy的安装步骤网上一大把,我就不累述了。重点是在win7 64位系统下安装时需要下载msvcp71.dll、msvcr71.dll这两个文件放在C:\windows\sysWOW64下。其他系统还好,按照步骤来安装就可以了。附件上带了debussy的安装文件和msvcp71.dll、msvcr71.dll文件。发现上传文件不能超过5M,那只能传后面的添加文件了,有需要同学可下载,免得在网上找很久。

使用方法:

  1. 配置几个文件,入下图所示,其中rtl文件夹中放的需要运行的.v文件,包括测试程序。这里要注意的是testbench中endmodule前面要添加几句程序,作用是显示波形:

        

  1. 编写HDL文件列表文件,供ModelSim和Debussy使用。
  2. 如下:

  3.  

3、编写ModelSim命令行脚本文件。

  • 如下:

vlib work
vlog -f rtl.f
vsim work.cmd_control_tst
run 1000ms
quit

  1. 、编写批处理脚步文件,调用命令行ModelSim生成波形文件,再调用Debusyy查看。
  2. 如下:
  3. 关闭回显
    @ECHO OFF
    ::设置软件路径              //软件路径根据实际自己安装路径来定
    SET debussy=C:\Novas\Debussy\bin\Debussy.exe
    SET vsim=D:\modeltech_6.5g\win32\vsim.exe
    ::ModelSim Command
    %vsim% -c -do sim.do
    ::删除ModelSim生成的相关文件
    RD work /s /q
    DEL transcript vsim.wlf /q
    ::Debussy Command
    %bussy% -f rtl.f -ssf wave.fsdb -2001
    ::删除波形文件
    DEL wave.fsdb /q
    ::删除Debussy生成的相关文件
    RD Debussy.exeLog  /s /q
    DEL debussy.rc /q
    ::退出命令行
    EXIT

 

5、双击运行run.bat 即可出来波形,并且进入debussy界面下进行调试。

重点就是利用他们两结合在debussy界面下进行调试,能很好的看到波形及信号的时刻变化,在使用中会有更深刻的体验。

文章评论6条评论)

登录后参与讨论

用户1438481 2015-12-23 16:36

是不是64位机装64位modelsim和32位debussy配上你给的Msvcp71文件也可以呀?

gongjian81818_832172166 2014-12-7 16:24

- Debussy与Modlesim的共处一室

用户403664 2014-11-28 16:22

还是没有看到哦

用户1790896 2014-11-21 08:43

OK,搞定

用户1711475 2014-11-20 16:12

博主,图挂了……

用户403664 2014-11-13 09:03

图片要重新上传啦~
相关推荐阅读
用户1790896 2015-03-16 09:28
回差的艺术
           回差是一个比较专业的词汇,就是将条件点变成条件段。 举例说明:需要用外部电压来控制继电器的开关,但是这个电压是不稳定在跳变,这样就不能单一的用电压超过某一个值就改变继电...
用户1790896 2014-12-16 15:17
Lpm_compare的使用
        在没有使用QuartusII自带的Lpm_compare 这个模块时,自己理解的就是很简单的比较器,没有想到用到它有符号的功能就得出不一样的结果了。         首先要了解...
用户1790896 2014-12-06 13:59
Verilog中小数计算方法
在verilog中没有小数的概念,如果要有小数的计算,最普遍的办法是将小数按2的倍数增大计算完后缩小相同的倍数。按2的多少倍数增大是个关键。 例如需要将0.2变成整数计算,那么需要将0.2x2N使之成...
用户1790896 2014-12-03 13:46
I2C的verilog实现
I2C 有 2条双向串行线,一条数据线SDA,一条时钟线SCL。   SDA传输数据是大端传输,每次传输8bit,即一字节。数据传输:SCL为高电平时,SDA线若保持稳定,那么SDA上是在传输数据...
用户1790896 2014-11-20 10:32
FPGA实现简单PWM
PWM原理:Pulse Width Modulation,是一种脉宽调制技术,通过对一系列脉冲的宽度进行调制,得到所需要的波形。可以通过硬件也可以通过软件的方式实现。这里主要介绍如何用FPGA实...
我要评论
6
20
关闭 站长推荐上一条 /2 下一条