原创 VHDL测试平台设计_4位计数器

2010-11-2 20:54 2709 5 6 分类: FPGA/CPLD



VHDL测试平台设计_4位计数器



VHDL设计实体,它是一个带低有效复位的4位计数器:


library ieee;


use ieee.std_logic_1164.all;


use ieee.std_logic_unsigned.all;



entity counter is


port(rst_n : in std_logic;


clk : in std_logic;


cnt_dout : out std_logic_vector(3 downto 0));


end counter;



architecture behave of counter is


signal cnt : std_logic_vector(3 downto 0) := "0000";


begin



cnt_dout <= cnt;



process(clk, rst_n)


begin


if(rst_n = '0') then


cnt <= "0000";


elsif clk'event and clk = '1' then


cnt <= cnt + 1;


end if;


end process;



cnt_dout <= cnt;



end behave;



测试平台:


library ieee;


use ieee.std_logic_1164.all;


use ieee.std_logic_unsigned.all;



entity counter_tb is


end counter_tb;



architecture behave of counter_tb is


component counter is


port(rst_n : in std_logic;


clk : in std_logic;


cnt_dout : out std_logic_vector(3 downto 0));


end component counter;



signal rst_n : std_logic;


signal clk : std_logic;


signal cnt_dout : std_logic_vector(3 downto 0);


begin



i1: counter port map(rst_n => rst_n,


clk => clk,


cnt_dout => cnt_dout);



--重复信号可以用PROCESS语句生成


generate_clk: process


begin


clk <= '1';


wait for 10ns;



clk <= '0';


wait for 10ns;


end process;



--非重复信号可以直接用AFTER语句生成


rst_n <= '0', '1' after 80ns;




end behave;




由测试平台可以看看出,VHDL的测试平台有以下几个部分组成:


1. 库的引用。


2. 实体声明,这是个空实体,即没有输入输出端口声明。


3. 被测实体的声明。


4. 内部信号的声明。


5. 被测实体的引用。


6. 测试信号的生成。



在MODELSIM中仿真如图1所示。



图1


由图1可以看出,实现了4位计数器的测试。




PARTNER CONTENT

文章评论1条评论)

登录后参与讨论

huotingtu_505472073 2010-11-4 20:00

感谢 学习了
相关推荐阅读
用户1132151 2011-12-10 19:55
《基于VHDL的FPGA与NIOS_II实例精炼》配套源代码与视频整理
[nobr] 《基于VHDL的FPGA与NIOS_II实例精炼》配套源代码与视频整理   下面是《基于VHDL的FPGA与NIOS_II实例精炼》配套源代码的下面地址,网友如果看不懂的可以购书配合学习...
用户1132151 2010-10-23 10:26
10种软件滤波方法
1、限幅滤波法(又称程序判断滤波法)    A、方法:        根据经验判断,确定两次采样允许的最大偏差值(设为A)        每次检测到新值时判断:        如果本次值与上次值之差&...
用户1132151 2010-10-23 10:19
PCB设计基础知识
PCB设计基础知识印刷电路板(Printed circuit board,PCB)几乎会出现在每一种电子设备当中。如果在某样设备中有电子零件,那么它们也都是镶在大小各异的PCB上。除了固定各种小零件外...
用户1132151 2010-10-23 10:17
上拉和下拉电阻
上拉电阻:1、当TTL电路驱动COMS电路时,如果TTL电路输出的高电平低于COMS电路的最低高电平(一般为3.5V),这时就需要在TTL的输出端接上拉电阻,以提高输出高电平的值。2、OC门电路必须加...
用户1132151 2010-10-23 10:15
HDL设计探究
一.可移植性编码1.只使用IEEE标准类型(VHDL):(1)使用STD_LOGIC类型,而不是STD_ULOGIC类型;(2)设计中不要创建过多的的子类型;(3)不要使用BIT和BIT_VECTOR...
EE直播间
更多
我要评论
1
5
关闭 站长推荐上一条 /3 下一条