原创 【原创】使用Debussy调试HDL程序(一)

2011-5-13 17:46 3561 8 8 分类: FPGA/CPLD

1.Debussy简介


   Debussy是NOVAS Software, Inc(思源科技)(现在已经被Spring soft收购,Debussy更名为Verdi)发展的HDL Debug & Analysis tool,这套软件主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。从2005年以后所有版本只能在linux上运行,win版本的最后一版是5.4v9。   


可能您会觉的:只要有simulator如ModelSim或者VCS就可以做debug了,我何必再学这个软件呢? 其实Debussy v5.0以后的新版本,还提供了nLint -- check coding style & synthesizable,这蛮有用的,可以协助工程师了解如何写好coding style,并养成习惯。 


  Debussy有四个主要单元(component),nTrace、nWave、nSchema、nState。


Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。


 


2.Modelsim的设置(本人使用的Questasim,modelsim可以使用相同的设置)


 


1.  将debussy安装目录下的\ share\PLI\modelsim_pli\WINNT中的novas.dll拷贝到modelsim安装目录下的win32文件夹中。然后再modelsim.ini中的[vsim]标签下添加Veriuser = novas.dll。如下图所示。


注意:在更改modelsim.ini前先要将属性的“只读”去除。


 


 




 


2.建工程,加入源程序和测试程序,注意在测试代码中加入以下语句:


initial
    begin
 
    $fsdbDumpfile("***.fsdb");
    $fsdbDumpvars;
 
end


***.fsdb中***可以是任意合法的字母数字组合。如:wave_test.fsdb.。如下图所示:


 


 



 


 


编译源代码和测试代码,在Project标签中添加Add to Project->Simulation Configuration..出现下面的对话框:


 


 



 


 


 



 


在others标签下的Other Vsim Options标签下加入"-pli novas.dll" 。


 


3.仿真程序


按照一般的仿真步骤,加入波形,完成仿真。


 


 


 


 



 


仿真完成后,会在工程目录下面生成一个fsdb的文件。


 

PARTNER CONTENT

文章评论0条评论)

登录后参与讨论
EE直播间
更多
我要评论
0
8
关闭 站长推荐上一条 /3 下一条