原创 TXT文件读写

2019-9-16 10:15 1053 7 2 分类: FPGA/CPLD
读取TXT文件
  1. integer i;  
  2. reg [11:0]Xin_reg[999:0];  
  3. initial begin  
  4.     $readmemb("E4_7_Bin_s.txt",Xin_reg);  
  5.     i = 0;  
  6.     repeat(1000)begin  
  7.         i = i+1;  
  8.         Xin = Xin_reg;  
  9.         #(`Clock_period*1);  
  10.     end  
  11. end 
写入TXT文件
  1. //将仿真数据dout写入外部TXT文件中(out.txt)    
  2.     integer file_out;    
  3.     initial begin    
  4.     //文件放置在"工程目录\simulation\modelsim"路径下    
  5.         file_out = $fopen("E4_7_Sout.txt");    
  6.         if(!file_out)  begin    
  7.             $display("could not open file!");    
  8.             $finish;  
  9.             end  
  10.         end    
  11.     wire clk_write;    
  12.     wire signed [14:0] Yout_s;    
  13.     assign Yout_s = Yout;            //将数据转换成有符号数据    
  14.     assign clk_write = clk & rst_n;//产生写入时钟信号,复位状态时不写入数据    
  15.     always @(posedge clk_write)    
  16.         $fdisplay(file_out,"%d",dout_s); 


PARTNER CONTENT

文章评论1条评论)

登录后参与讨论

curton 2019-9-16 21:18

这个绿线做的不错
相关推荐阅读
我要评论
1
7
关闭 站长推荐上一条 /3 下一条