原创 [转]Ncverilog 命令使用详解

2007-6-22 23:49 7593 13 14 分类: FPGA/CPLD
我们知道,由于NC-Verilog使用了Native Compile Code 的技术来加强电路模拟的效率,因此在进行模拟时必须经过compile(ncvlog 命令)以及elaborate(ncelab命令)的步骤。编译之后,针对每一个HDL设计单元会产生中间表达。接着elaborate命令会建立整个电路的结构,产生可以用来模拟的资料。最后使用ncsim命令来进行模拟。

  三命令模式


    命令如下:
    ncvlog -f run.f
    ncealb tb -access wrc
    ncsim tb -gui
第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误。


第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。


第三个命令中,gui选项是加上图形界面


值得注意的是,在这种模式下仿真,是用“ - ”的。而下边要说的ncverilog是采用“ + ”的。


  单命令模式


    ncverilog +access+wrc rtl +gui
    在这里,各参数与三命令模式相同。注意“ + ”。


    在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。
    +cdslib+...           设定你所仿真的库所在


    +define+macro ...     预编译宏的设定


    +errormax+整数       当错误大于设定时退出仿真


    +incdir+path         设定include的路径


    +linedebug           允许在代码中设定line breakpoint


    +log+logfile           输出到名为logfile的文件中


    +status               显示内存和CPU的使用情况


    +work               工作库


    +access+w/r/c       读取对象的权限,缺省为无读(-w)无写(-r)无连接(-c)


    +gui                 显示图形交互界面


    +input script_file     输入脚本文件


    +licqueque           如无licence等待licence


    +run               如果在GUI交互界面下, 启动后将自动开始仿真


    +loadpli1=...         动态加入PLI


    +timescale           设定仿真单位和精度


    +nocopyright       不显示版权信息

文章评论1条评论)

登录后参与讨论

用户112899 2007-6-23 01:17

这个我也在用 呵呵以后多多学习

相关推荐阅读
用户68661 2007-07-29 17:55
水货和行货
何谓行货呢?行货就是得到生产厂商的认可,由某个商家取得代理权或者直接由该生产厂商的分支机构在某个指定的地区进行销售的产品,由于行货需要让代理商及其分支机构获得合理甚至是暴利的利润,而且必须缴纳符合该地...
用户68661 2007-07-17 21:56
让心休息一下
第一题:)Ps @-]/`GuestEDA中国门户网站iz \:p*K)f9]X6\!W  一只小狗带了足够多的水和食物到沙漠旅行(没有天敌等危害),结果在第EDA中国门户网站~S8G2kp!e@  ...
用户68661 2007-07-14 12:27
[转]基本触发器
一、触发器的概念 复习:组合电路的定义?构成其电路的门电路有何特点?组合电路与时序电路的区别?门电路:在某一时刻的输出信号完全取决于该时刻的输入信号,没有记忆作用。触发器:具有记忆功能的基本逻辑电路,...
用户68661 2007-07-04 22:04
中国半导体行业协会06年十大半导体企业统计结果
为全面总结2006年国内各有关半导体企业所取得的成绩,依据参加全国半导体行业统计企业的上报数据,中国半导体行业协会分别排出2006年度国内10大集成电路设计企业、10大集成电路与分立器件制造企业以及1...
用户68661 2007-06-30 23:19
IC 专业术语
//真的很搞,本来以为离开学校后再也不会考试了,呵呵,但是到公司后还是不断的参加考试,下周更惨,一周就考三次,哈哈。没办法,有些东西还得准备,专业术语也考,早就忘光了。ASIC:  Applicati...
我要评论
1
13
关闭 站长推荐上一条 /2 下一条