原创 VHDL--4位加计数器

2007-6-6 20:55 2495 9 9 分类: MCU/ 嵌入式




library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;


entity CNT4 is

     Port ( CLK : in   STD_LOGIC;

            Q : out   STD_LOGIC_VECTOR (3 downto 0);

      fn:out std_logic_vector(1 downto 0);

      en:out std_logic_vector(1 downto 0));

end CNT

阅读全文


类别:Vhdl 查看评论
PARTNER CONTENT

文章评论0条评论)

登录后参与讨论
EE直播间
更多
我要评论
0
9
关闭 站长推荐上一条 /3 下一条