原创 计秒LED.(Verilog)

2008-9-1 14:57 5281 17 21 分类: FPGA/CPLD

一. 想法

LED与二进制计数信号的同时闪亮,每秒一次。

 

二. HDL描述

counter_LED.v / Verilog




module counter_LED (
  output wire [7:0] LED,     // 低电平有效
  input             rst_n,   // 异步置零
  input             CLOCK_50 // 50 MHz 时钟
);

 

reg [7:0] mLED;


wire clk_1_Hz; // 秒钟

 

divider divider_50M ( // 例化分频器

  .o_clk(clk_1_Hz),
  .rst_n(rst_n),
  .i_clk(CLOCK_50)
);

 

always @ (posedge clk_1_Hz, negedge rst_n)
begin
  if (!rst_n)
    mLED <= 0;
  else
  begin
    if (mLED == 8'b11111111)
      mLED <= 0;
    else
      mLED <= mLED + 1'b1;
  end
end


assign LED = ~mLED;


endmodule



 

divider.v / Verilog




module divider (
  output reg  o_clk,
// 分频后的时钟


  input       rst_n, 
  input       i_clk
);

 

parameter N = 50_000_000; // 分频倍数

parameter M = 24_999_999; // M="N/2-1"

 

reg [25:0] cnt; // 分频倍数二进制位宽

 

always @ (posedge i_clk, negedge rst_n)
begin
  if (!rst_n)
    cnt <= 0;
  else 
  begin
    if (cnt == N)
      cnt <= 0;
    else
      cnt <= cnt + 1'b1;
  end
end

 

always @ (posedge i_clk, negedge rst_n)
begin
  if (!rst_n)
    o_clk <= 0;
  else
  begin
    if (cnt <= M)
      o_clk <= 1;
    else
      o_clk <= 0;
  end
end

 

endmodule




 

三. 其他

本例可作为使用分频器的范例。

 

 

文章评论4条评论)

登录后参与讨论

用户162438 2008-9-25 11:05

收藏

用户485340 2008-9-5 16:39

收藏

用户1373524 2008-9-2 18:45

不错,以前才开始弄的时候很兴奋

用户1268540 2008-9-1 22:39

呵呵,不错,以前在学校的时候也搞过,但是还兴奋的不得了,呵呵
相关推荐阅读
用户1373959 2009-11-17 22:44
本博客地址迁移.(公告)
迁移至:http://yuphone.cnblogs.com/...
用户1373959 2009-10-16 13:10
七段数码管动态显示IP的研究及设计.(Nios II)(SOPC Builder)
这两个礼拜整理的,欢迎大家抓虫。...
用户1373959 2009-10-16 13:00
sizeof()和strlen()的区别.(C)
#include <stdio.h>int main(void){  char msg[] = "Hello Nios!";    printf("sizeof(msg) = %ld", ...
用户1373959 2009-10-16 12:56
HAL的不同方式访问字符器件的空间开销简单比较.(Nios II)
Unix类型 #include "system.h"#include "fcntl.h"#include "unistd.h"int main(void){  int fd; // file desc...
用户1373959 2009-10-16 12:49
几个的Ubuntu 9.04好源.(Ubuntu)
新加坡国立大学理学院 - 全国通用deb http://ftp.science.nus.edu.sg/ubuntu/ jaunty main restricted universe multivers...
用户1373959 2009-05-05 10:43
NI Multisim 基础电路范例.(Multisim)
NI官网提供的NI Multisim Fundamental Circuits, 内容涵盖RLC电路, 二极管, 晶体管, 放大器, 运放, 滤波器和混合电路. 这些内容不仅可作为初学者的学习范例, ...
我要评论
4
17
关闭 站长推荐上一条 /2 下一条