原创 循环彩灯8路

2010-12-23 09:45 1620 16 16 分类: 消费电子

library ieee ;



use ieee.std_logic_1164.all;



entity lamp is



port (nrst : in std_logic; --negative



shift_direction:in std_logic;--'1' for right ; '0' for left



clk:in std_logic;



Q : out std_logic_vector(7 downto 0) --controll 8 led lamp



);



end lamp;



architecture lamp of lamp is



signal temp : std_logic_vector(7 downto 0);



begin







process(clk,nrst,shift_direction)







begin



if nrst='0' then



temp(7 downto 0) <="10000000";



elsif clk'event and clk = '1' then



if shift_direction = '1' then



temp(7 downto 0) <= temp(0) & temp(7 downto 1) ;



else



temp(7 downto 0) <= temp(6 downto 0) & temp(7);



end if;



end if;



q(7 downto 0)<=temp(7 downto 0);



end process;



end lamp;

PARTNER CONTENT

文章评论0条评论)

登录后参与讨论
EE直播间
更多
我要评论
0
16
关闭 站长推荐上一条 /3 下一条