原创 一个32位DDS的可综合代码

2008-8-11 11:28 3199 7 8 分类: FPGA/CPLD

功能描述:
    累加器32位
    频率控制字27位,高位屏蔽,内部设置为0
    输出地址宽度11位,可带2k ROM

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
-------------------------------------------------------
entity DDS32 is
port(
    clock         :         in  std_logic;
    FreqCtrl    :        in    std_logic_vector(26 downto 0);
    Address        :        out    std_logic_vector(10 downto 0);
    RD            :        out std_logic
    );
end;
-------------------------------------------------------
architecture behav of DDS32 is
    signal Bdata32     : std_logic_vector(31 downto 0);
    signal ACC        : std_logic_vector(31 downto 0);
begin
-------------------------------------
    Bdata32(26 downto 0)    <=    FreqCtrl;
    Bdata32(31 downto 27)    <=    "00000";
-------------------------------------
    process(clock)
    begin
        if clock'event and clock = '1' then
            ACC <= Bdata32 + ACC;
            Address <= ACC(31 downto 21);
        end if;
    end process;
-------------------------------------
    --Read signal--High available
    RD <= not clock;
end;

PARTNER CONTENT

文章评论1条评论)

登录后参与讨论

用户209396 2009-8-20 22:04

比较简单 哦 呵呵
相关推荐阅读
用户153210 2015-02-01 10:18
Debussy-54v9-NT设置默认编辑器为Notepad++
软件版本: Debussy 5.4v9 Notepad++ 6.7.4  Je suis Charlie edition 设置步骤 安装好上面两个软件后,将Notepad++的安装目录设置为环境变量...
用户153210 2015-01-31 13:53
SPWM控制器的全数字设计
    一个SPWM控制器的数字设计。此为系统框图,完整的系统曾在CycloneII FPGA上验证过,正弦ROM采用2048,经地址变换后相当于4096,此系统优点在于SPWM的各项指标均可以灵...
用户153210 2015-01-31 13:49
Debussy-54v9-NT安装步骤
1、  双击安装文件Debussy-54v9-NT.exe, 2、  如下图所示:               3、   **过程: 双击debussy-54v9-crack.exe,...
用户153210 2015-01-28 21:45
在modelsim中添加altera库
1.       去除ModelSim安装根目录下的“modelsim.ini”文件的只读属性。 2.       在ModelSim安装根目录下新建文件夹altera,并在altera目录中新建子...
用户153210 2008-12-10 15:18
恒精度频率计的VHDL可综合代码
采用时钟频率为2MHz,不同的时钟频率需要修改相应的参数。在此只给出本时钟下的程序,其他时钟下请自行修正。-----------------------------------------------...
用户153210 2008-12-10 15:14
恒精度频率计的Verlog可综合代码
采用时钟频率为2MHz,不同的时钟频率需要修改相应的参数。在此只给出本时钟下的程序,其他时钟下请自行修正。module Cymometer(clk, reset, signal, FreqNs, Fr...
EE直播间
更多
我要评论
1
7
关闭 站长推荐上一条 /3 下一条