1. 声明一个芯片的entity和port部分,只能使用std_logic和std_logic_vector类型。该芯片有以下信号:
名称<?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" /> | 方向 | 宽度 |
data | inout | 16 |
cs | out | 1 |
clock | in | 1 |
addr | out | 20 |
entity Test1 is
Port ( data : inout std_logic_vector(15 downto 0);
cs : out std_logic;
clock : in std_logic;
addr : out std_logic_vector(19 downto 0));数组和一位的区别
end lab1; (0 to 19)另外的一种表示方式
2. 把以下真值表转换成逻辑表达式,然后再把逻辑表达式转换成VHDL语言。
输入信号 | 输出信号 | |||
A | B | C | D | E |
0 | 0 | 0 | 0 | 0 |
0 | 0 | 1 | 1 | 0 |
0 | 1 | 0 | 1 | 0 |
0 | 1 | 1 | 0 | 1 |
1 | 0 | 0 | 1 | 0 |
1 | 0 | 1 | 0 | 1 |
1 | 1 | 0 | 0 | 1 |
1 | 1 | 1 | 1 | 1 |
逻辑表达式:
D = !A*!B*C + !A*B*!C + A*!B*!C + A*B*C
E = !A*B*C + A*!B*C + A*B*!C + A*B*C
VHDL语句:
D <= (NOT(A) and NOT(B) and C)
OR (NOT(A) and B and NOT(C))
OR (A and NOT(B) and NOT(C))
OR (A and B and C);
E <= (NOT(A) and B and C)
OR (A and NOT(B) and C)
OR (A and B and NOT(C))
OR (A and B and C);
用户222665 2009-5-29 09:03