原创 用VHDL声明一个芯片的entity和port部分

2009-5-24 18:13 3359 5 6 分类: FPGA/CPLD

1.      声明一个芯片的entityport部分,只能使用std_logicstd_logic_vector类型。该芯片有以下信号:




名称<?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" />


方向


宽度


data


inout


16


cs


out


1


clock


in


1


addr


out


20


entity Test1 is


    Port ( data : inout std_logic_vector(15 downto 0);


         cs   : out std_logic;


         clock : in std_logic;


         addr : out std_logic_vector(19 downto 0));数组和一位的区别


end lab1;                        0 to 19)另外的一种表示方式


 


2.      把以下真值表转换成逻辑表达式,然后再把逻辑表达式转换成VHDL语言。




输入信号


输出信号


A


B


C


D


E


0


0


0


0


0


0


0


1


1


0


0


1


0


1


0


0


1


1


0


1


1


0


0


1


0


1


0


1


0


1


1


1


0


0


1


1


1


1


1


1


 


逻辑表达式:


D = !A*!B*C + !A*B*!C + A*!B*!C + A*B*C


E = !A*B*C + A*!B*C + A*B*!C + A*B*C


 


VHDL语句:


D <= (NOT(A) and NOT(B) and C)


  OR (NOT(A) and B and NOT(C))


  OR (A and NOT(B) and NOT(C))


  OR (A and B and C);


 


E <= (NOT(A) and B and C)


  OR (A and NOT(B) and C)


  OR (A and B and NOT(C))


  OR (A and B and C);

PARTNER CONTENT

文章评论1条评论)

登录后参与讨论

用户222665 2009-5-29 09:03

顶一下吧
相关推荐阅读
用户171853 2009-06-22 11:08
51单片机扫描键盘程序2
//-----------------------函数声明,变量定义--------------------------------------------------------#include &...
用户171853 2009-06-22 11:04
51单片机开发板键盘扫描程序
//-----------------------函数声明,变量定义--------------------------------------------------------#include &...
用户171853 2009-06-21 16:59
七段LED数码管显示0000加到9999
#include <reg51.h>sbit LED_1=P1^4;sbit LED_2=P1^5;sbit LED_3=P1^6;sbit LED_4=P1^7;void delay(u...
用户171853 2009-05-24 17:30
安装和使用ModelSim PE 6.4 学生版和Xilinx ISE Webpack
Lab 1<?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" /> 截止日期:2008-9-...
我要评论
1
5
关闭 站长推荐上一条 /3 下一条