原创 运算符重载

2011-1-1 20:20 850 0 分类: 工程师职场

错误分析:count定义有误,应定义为buffer,而不是单单为out。或是在process中添加中间信号 signal count1 : std_logic_vector(2 downto 0); 并在process结束后附上 count<=count1.

Error (10327): VHDL error at test.vhd(14): can't determine definition of operator ""+"" -- found 0 possible definitions.

错误分析:运算符重载!应在程序前面添加 use ieee.std_logic_unsigned.all; 语句。

最后更正为

*************************************************************************************************************

PARTNER CONTENT

文章评论0条评论)

登录后参与讨论
我要评论
0
0
关闭 站长推荐上一条 /1 下一条