EDA工具的使用
源文件fp.v 激励文件fp_test.v
1. 修改配置文件
当前用户根目录 .cshrc ls -a
2. ius.setup
3. 修改fp_test.v(激励文件)
添加 initial begin
$fsdbDumpfile(“fp_test.fsdb”); 打开这个文件
$fsdbDumpvar(); 监测里面所有符号
end
4. 编译 ncverilog fp.v fp_test.v +access+rwc
或 *v>source.f ( 把.v 文件追加到source.f文件中 )
ncverilog -f source.f +access+rwc
5. 仿真 verdi & (& 后台运行)
6. 从file中选Fromfile fp_ADD OK
7. 点击“波形(波形仿真)”按钮,open选项( 里有fp_test.fsdb )
8. 波形
1. ius.setup
2. 修改fp_test.v
initial begin
$ shm_open(“fp.shm”); 打开这个文件
$ shm_probe(“AS”); 监测
# (100*CYCLE) $shm_close;
end
3. 编译 ncverilog fp.v fp_test.v +access+rwc
( 没试过,应该可以 或 *v>source.f ( 把.v 文件追加到source.f文件中 )
ncverilog -f source.f +access+rwc
)
3. simvision &
1. synopsys.setup
2. 修改fp_test.v
initial begin
$ vcdplusfile(“fp.vpd”);
$ vcdpiuson ;
$ vcdplustraceon;
end
3. 参数解释 +v2k verilog 2001 标准
-PP(大写) 优化的vpd文件
-I (大写) 产生优化的vpd文件
-o 去修改可执行文件,(默认的是simv )
-l 生成日志
-debug 图形界面交互调试
4. *v>source.f ( 把.v 文件追加到source.f文件中 )
vcs -f source.f -debug +v2k -o fenpin
fenpin –gui &
5. *v>source.f ( 把.v 文件追加到source.f文件中 )
vcs -f source.f -PP +v2k -l fenpin.log
simv -l fenpin.log
/* dve &
open database(.vpd)
*/
6. *v>source.f ( 把.v 文件追加到source.f文件中 )
vcs -f source.f -PP +v2k -o fenpin
fp -l fenpin
dev &
open database(.vpd)
文章评论(0条评论)
登录后参与讨论