文章
用户1324799 2009-8-22 08:29
JJG596-1999电子式电能表,中华人民共和国计量检定规程
JJG596-1999电子式电能,表, 中华人民共和国计量检定规程
用户1324799 2009-8-12 15:56
Spartan-3A SDSPBoard_LYR178-101D-SDSP_LYR178-101D
https://static.assets-stash.eet-china.com/album/old-resources/2009/8/12/c4996930-fed6-4d72-95ae-8ec574a1bb54.rar http://japan.xilinx.com/sup ...
用户1324799 2009-8-12 14:39
PCB单面板双面板多层板工艺流程
    PCB单面板双面板多层板工艺流程
用户1324799 2009-8-12 10:29
AD_PCB高级规则 altium designer pcb advance rule
你在layout的时候是不是要做地覆铜,你是不是想地过孔的覆铜全连接,焊盘覆铜热焊盘连接,在覆铜的时候你是不是想设置20mil覆铜间距,定位孔间距50mil?在layou ...
用户1324799 2009-7-30 15:16
我写的读PS/2键盘VHDL代码
程序功能:读取PS/2键盘发送过来的11位数据,取出其中的8位数据位送8个发光二极管显示(低有效),此程序不判断 开始位 , 奇校验位 ,停止位正确与否;只读取 ...
用户1324799 2009-7-30 14:42
FPGA工程师面试试题00--03
FPGA工程师面试试题00 --03 FPGA工程师面试试题00 1、同步电路和异步电路的区别是什么?(仕兰微电子) 2、什么是同步逻辑和异步逻辑?(汉王笔试) ...
用户1324799 2009-7-29 14:41
AD6 pcb filter 选择 顶层所有覆铜
原创 PCB PCB FILTER input     IsPolygon and OnTopLayer     then click apply; altium designer 会选择 顶层 上所有覆铜; 可以是 OnBotto ...
用户1324799 2009-7-28 19:17
一个简单的Altera TCL脚本
使用tcl文件分配管脚 比如在quartus里面建立一个setup.tcl的tcl文件,器件为EP1C6Q240,内容如下: #Setup.tcl # Setup pin setting set_global_assignment ...
用户1324799 2009-7-28 19:15
基于FPGA的PS/2鼠标接口设计方法及其应用
引言   当前嵌入式系统技术已得到了广泛应用,但传统嵌入式系统的人机接口多采用小键盘操作的文本菜单方式,用户操作较为不便。本设计利用FPGA实现对P ...
用户1324799 2009-7-28 19:14
基于FPGA的PS2鼠标接口设计方法及其应用-王小明
基于FPGA的PS2鼠标接口设计方法及其应用-王小明
用户1324799 2009-7-28 19:14
我做的570CPLD开发板_实物效果远大于照片_直接插1602液晶
用户1324799 2009-7-28 19:13
VHDL 10进制加法器 进位输出 自动复位 可级联
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter10 is  port( clk : in std_logic;        aout : ou ...
用户1324799 2009-7-28 19:12
Simple PS/2 Interface
用户1324799 2009-7-24 16:32
AnyDATA DTGS&DTU&DTL-800DemoV0p4
DTGSDTUDTL-800DemoV0p4.PDF  DTGS-800.pdf  DTGS-800-SPEC.pdf ANYDATA模块使用说明2.pdf https://static.assets-stash.eet-china.co ...
用户1324799 2009-7-24 15:48
FPGA数字电子系统设计与开发实例导航光盘
https://static.assets-stash.eet-china.com/album/old-resources/2009/7/24/8020ac54-841f-45de-9e9b-dbcfe9670006.rarFPGA数字电子系统设计与开发实例导航.pa ...
关闭 站长推荐上一条 /2 下一条