文章
用户1324799 2009-5-13 19:53
以前雕刻的51ISP板子
  雕刻了1块,觉得好玩又雕刻了一块,我也挺无聊的
用户1324799 2009-4-29 20:14
VHDL(1)--数据类型
A.         标准数据类型 1)         整数类型( INTEGER )     VARIBLE A: INTEGER RANGE -128 TO 128     ...
用户1324799 2009-4-29 19:38
NRE费用
 NRE是Non-Recurring Engineering的缩写,NRE费用即一次性工程费用,是指集成电路生产成本中非经常性发生的开支,明确地说就是新的集成电路产品的研制开发费.新产 ...
用户1324799 2009-2-19 17:09
改装我的Altera EPM570开发板---加了2个有源时钟
  开发板正面,EPM570T144C5,570LE,5NS,淘宝上购得148+12元快递;板载2个有源晶振,24M和50M。   有在板子的背面加了2个有源晶振: ...
用户1324799 2009-2-19 16:56
我的摄像头红外灯板
  光敏电阻滤光片和导向筒(我自己的叫法)暂时没有,焊上先;
用户1324799 2009-2-12 09:17
TCL脚本
#Setup.tcl #setup pin setting set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_ ...
用户1324799 2009-2-6 17:36
PWM VHDL程序,双路互补输出,精度占空比可调
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity pwm is  generic(N: integer :=8 ); ...
用户1324799 2009-2-4 16:40
VHDL数据类型“小说”
STD_LOGIC --“标准逻辑” 信号形式定义: ‘X’ Forcing Unknown (synthesizable unknown)     ;浮接不定 ‘0’ Forcing Low (synthesizable logic ‘1 ...
用户1324799 2009-2-4 10:26
VHDL 50%占空比奇数分频器之方法一,程序引发的器件资源消耗的问题与FPGA资源利用问题
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity fredivn1 is  GENERIC(N:integer ...
用户1324799 2009-2-3 13:03
罗苑棠《CPLD/FPGA常用模块与综合系统设计实例精讲》书中的1:1奇数分频器VHDL资源利用改进
本人买了一本 罗苑棠 的 CPLD/FPGA常用模块与综合系统设计实例精讲 一书,刚好本人在学奇数的50%占空比分频电路,在网上看了一个 50%占空比的奇数分频电路 ...
用户1324799 2009-1-14 15:03
MP3解码器VS1003问题已解决(原创)
51+SD+VS1003播放MP3; 测试发现声音播放断续,认真查看原理图发现VS1003b的第32脚 TEST 没有连接到3.3V,是导致播放MP3声音断续的主要原因;通过跳线解决问 ...
用户1324799 2009-1-14 11:30
VHDL无关态的使用
用户1324799 2009-1-14 11:14
3w与20H原则
3w与20H原则认识探讨 3w原则.这里3W是线与线之间的距离保持3倍线宽.你说3H也可以.但是这里H指的是线宽度.不是介质厚度. 是为了减少线间串扰,应保证线间距足 ...
用户1324799 2009-1-13 16:45
CPLD/FPGA亚稳态(网络整理)
  百度知道对亚稳态的解释:      某些物质由于其原子内部结构的特殊性,在它们所具有的许多高能态中有少数几个寿命较长的能态。       在数 ...
用户1324799 2009-1-10 09:03
什么是JTAG?(转)
什么是JTAG? 用了一些FPGA开发板后每种开发板均带有JTAG下载口,但什么是JTAG呢,于是就到网上寻找了一翻,终于找到了,现在来和大家分享一下:   JTAG(Joint Te ...
关闭 站长推荐上一条 /2 下一条