文章
首页 我的博文
用户1499147 2007-9-22 18:41
设计的处理器可以跑gcc编译的程序了!!!!!!
.18可以跑200M(用的2000年出的RTL编译器,如果用现在的synopsys cadence的工具,可能频率还可以提升些),带tlb的。某指令集兼容,暂时缺少cache估计RTL要2个月 ...
用户1499147 2007-8-30 03:20
一些有用的modelsim脚本
多次仿真相同的代码,如果每次查看相同的信号,那么只要在第一次保存了波形文件(export format),然后以后用到的时候do wave_file_name.do就可以了。 但是假 ...
用户1499147 2007-8-29 20:11
cygwin终于安装成功:csh 日记
昨天安装了2边cygwin,终于安装成功,不过完全安装竟然占了差不多3G空间。恐怖! 第一次安装了3个小时后97%,然后就卡在那里了,好像是xxxx.sh出了问题,然 ...
用户1499147 2007-8-24 14:27
ti msp430的读写地址空间的编译如何实现的呢?
在 lnk_msp430c111.cmd 中添加 如下代码 SB_0            = 0x0000; SB_1            = 0x0001; SB_2            = 0x0002; ...
用户1499147 2007-8-19 23:47
在咳嗽中又度过了一天,痛苦!
在清华憋了2天,终于又可以上网了。考的一塌糊涂,郁闷。这2天坐在我旁边的人一定郁闷死了,咳嗽呀咳嗽。喉咙都快破了。 害的考试也没有考好。 第一题笔试稀里糊 ...
用户1499147 2007-8-16 23:29
vcs脚本
再写一边,后天免的忘了 vcs -Mupdata -RI -line -j2 +compsdf +mindelays \ timescale=1ns/1ns \ +v2k \ +incdir+../include_dir \ +define+FPGA_SI ...
用户1499147 2007-8-16 23:21
感冒,拉肚子,开会,忘记密码,一天就过去了
早上9点起床准备去注册,竟然拉肚子了,,痛苦呀。拉到现在。快脱虚了。 下午老板开会,给那些人又讲了一边4核DSP设计框架,还给那sxxx公司的人讲了下LCD控制 ...
用户1499147 2007-8-15 20:24
verilog语法要点
`define a if( xx == `a) begin .... end ################################################### initial     $sdf_annotate("filename.sdf",UUT); ...
用户1499147 2007-8-15 20:03
dc_shell脚本
remove_design -all link_library="* smic18_tt.db" target_library=smic18_tt.db search_path=e:/code/verilog read_file -format verilog ./shifter/sour ...
用户1499147 2007-8-15 20:01
开张了,先放个小板凳!
关闭 站长推荐上一条 /2 下一条