原创 ModelSim仿真Verilog版本FFT核的必要条件

2015-2-11 13:12 2524 16 16 分类: FPGA/CPLD 文集: ModelSim

To successfully compile and simulate Verilog version of FFT megafunction in ModelSim:

1. Create project in ModelSim, append fft.vo and fft_tb.v files into it;
2. Execute following lines in transcript window (or put these to .do file):

vlib work
vmap work work

vlog -reportprogress 300 -work work fft.vo
vlog -reportprogress 300 -work work fft_tb.v

vlog -reportprogress 300 -work work C:/Altera/13.0/quartus/eda/sim_lib/altera_lnsim.sv
vlog -reportprogress 300 -work work C:/Altera/13.0/quartus/eda/sim_lib/220model.v
vlog -reportprogress 300 -work work C:/Altera/13.0/quartus/eda/sim_lib/altera_mf.v
vlog -reportprogress 300 -work work C:/Altera/13.0/quartus/eda/sim_lib/sgate.v

vsim fft_tb
add wave sim:/fft_tb/*


Of course, you may need to change file pathes.

fft

文章评论0条评论)

登录后参与讨论
我要评论
0
16
关闭 站长推荐上一条 /2 下一条