-
用户1238217
2009-8-28 22:02
-
关于quartus hdl综合的一个疑问
-
今天用quartus写了一个带clock enable的d触发器: library ieee; use ieee.std_logic_1164.all; entity test is port(d, ena, clk, reset: in std_logic ...
-
-
用户1238217
2009-2-23 14:24
-
[zz]关于TI DSP的EDMA
-
几天调下来,总感觉TI的文档语焉不详。不过想想也对,250页的文档是谁都要写到郁闷的。而且一个懂了的人给初学者讲东西总会自然的略过一些看似当然的关键。 ...
-
-
用户1238217
2009-1-21 11:45
-
Synplify DSP安装、设置指南
-
Installing the Software on a Windows Platform Windows平台安装指南(摘自Synplify? DSP Installation and License Configuration.pdf) The following pro ...
-
-
用户1238217
2009-1-20 09:36
-
mif文件的格式定义(From Altera)
-
Memory Initialization File (.mif) Definition An ASCII text file (with the extension .mif ) that specifies the initial content of a memory block (C ...
-
-
用户1238217
2009-1-19 17:38
-
用matlab生成mif文件
-
网上有一位网友提供了如何使用matlab生成mif文件的方法。但经过试验,在Quartus中无法打开生成的mif文件,提示有语法错误。以下是hustzq的一个小程序,生成了 ...
-
-
用户1238217
2009-1-19 14:27
-
【转帖】利用excel生成“.MIF”文件的办法
-
注:本文转帖自网友ql_smbj的博客: http://blog.ednchina.com/ql_smbj 在FGPA的设计中,经常会对ROM进行初始化操作,如果内存数据不是很大(比如几十个), ...
-
-
用户1238217
2009-1-16 12:21
-
alteraforum被大陆屏蔽了
-
自从 www.altera.com 改版以来, www.alteraforum.com 就一直上不去了。在mysupport.altera.com上发帖询问后,才得知:论坛可能被大陆屏蔽了。用代理试 ...
-
-
用户1238217
2008-12-8 13:58
-
Altera USB Blaster Driver Installation
-
(from Altera.com) Driver Installation Plug the USB-Blaster into the PC. The Found New Hardware Wizard appears. If you are prompted to ...
-
-
用户1238217
2008-12-8 13:48
-
Matlab R2008b安装失败的原因
-
新下载了Matlab的最新版本R2008b,准备在家中电脑中安装试用,费时30+min,启动程序却爆出一个runtime error:“Unknown Windows exception of type="c0 ...
-
-
用户1238217
2008-10-30 17:36
-
[杀毒]“DNS篡改者”病毒的杀毒方法
-
今天感染了一个“DNS篡改者”病毒。特征:TCP/TP中的DNS被篡改成了85.255.112.105,且无法修改。注册表中DhcpServer和NameServer两项也被改成同 ...
-
-
用户1238217
2008-6-4 11:22
-
[转载]使用运放构成电压跟随器的稳定性问题
-
题外话:用运放构成电压跟随器的电路,传统教科书仅是简单的把输出和反相输入端连接起来完事儿,而实际电路要复杂的多,稳定性问题不可忽视!本文是在一家日本I ...
-
-
用户1238217
2008-3-18 17:54
-
AHDL和VHDL两种语言的Component端口映射
-
VHDL 中, Component 端口映射有 2 种方法:位置映射和名称映射。前者书写简单,但后者代码可读性更高,且不容易出错。 Hustzq 就习惯于后一种方法。 ...
-
-
用户1238217
2008-3-13 18:10
-
LPM函数的LPM_PIPELINE参数研究
-
本文研究含有 LPM_PIPELINE 参数的 LPM 函数(如: LPM_ADD_SUB 、 LPM_MULT 等),对 LPM_PIPELINE 参数的作用做了一些探讨。 LPM_PIPE ...
-
-
用户1238217
2008-1-3 09:16
-
[转]抗混淆滤波器放大器的选择
-
?xml:namespace prefix = v ns = "urn:schemas-microsoft-com:vml" /?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" / ...
-
-
用户1238217
2007-11-6 09:51
-
[转]ModelSim.SE.v6.2b的安装和使用方法总结
-
因为要学VHDL,从迅雷下载了一个ModelSim.SE.v6.2b。使用时发现license不能用,在google上查到一个前辈的经验: -*************************安装************ ...
-
关闭
站长推荐
/4