原创 [转]ModelSim.SE.v6.2b的安装和使用方法总结

2007-11-6 09:51 5575 6 11 分类: FPGA/CPLD

因为要学VHDL,从迅雷下载了一个ModelSim.SE.v6.2b。使用时发现license不能用,在google上查到一个前辈的经验:


-*************************安装****************************************
1.安装的文件夹中必须有连个文件:setup.exe,keygen.exe
2.正常安装setup.exe
3.利用keygen产生license,如果上面安装在默认路径下,这时应该把产生的license.dat放在安装目录下:C:\Modeltech_6.2b
4.点击我的电脑--属性--高级--环境变量--新建,变量名为LM_LICENSE_FILE,变量值也就是license所在的路径:.;C:\Modeltech_6.2b\license.dat(类似的EDA软件如果加密,都应该采用此方法,不同的license区别在于路径不同,可以用分号隔开)


**************************使用***************************************
1.先建一个工程,注意所有的文件和文件夹用英文命名而不能出现中文名
2.编写verilog文件
3.verilog激励文件,可以分开编译,也可以一起编译
4.仿真:先simulate,注意6.2b在选择simulate文件test.v的时候,出来的对话框中还有一项,optimization Options,默认是No design object bisibility(并不知道为什么,个人认为没有必要有这样的选择),选择Apply full visibility to all modules(full dubug mode),或者你也自己可以选择需要仿真的模块(Customized visibility),这时就可以选择仿真
5.view-wave ,将仿真出来的变量拖到wave中看波形
6.关于仿真时间的设置,还没搞清楚,还没学好语言,不管先,以后研究。
**********************************************************************

PARTNER CONTENT

文章评论5条评论)

登录后参与讨论

用户1547583 2010-11-11 10:51

谢谢 很好···

用户159162 2008-7-4 23:36

用户1359682 2008-2-17 21:07

能否麻烦你给我也发个keygen.exe,谢谢!

邮箱:liuyongzheng@opt.ac.cn

用户18552 2007-12-16 22:41

真的可以用阿,谢谢谢谢!!!

用户77505 2007-11-14 16:02

你好,我在网上下的keygen.exe不能解压缩了,文件坏了,能发一个给我吗?或者能告诉我你下载的链接吗?我的邮箱了liukelins@163.com  谢谢你啦

相关推荐阅读
用户1238217 2009-08-28 22:02
关于quartus hdl综合的一个疑问
今天用quartus写了一个带clock enable的d触发器:library ieee;use ieee.std_logic_1164.all;entity test is    port(d, ...
用户1238217 2009-02-23 14:24
[zz]关于TI DSP的EDMA
几天调下来,总感觉TI的文档语焉不详。不过想想也对,250页的文档是谁都要写到郁闷的。而且一个懂了的人给初学者讲东西总会自然的略过一些看似当然的关键。     这几天遇到的问题就是EDMA可以工作,却...
用户1238217 2009-01-21 11:45
Synplify DSP安装、设置指南
Installing the Software on a Windows PlatformWindows平台安装指南(摘自Synplify? DSP Installation and License ...
用户1238217 2009-01-20 09:36
mif文件的格式定义(From Altera)
Memory Initialization File (.mif) DefinitionAn ASCII text file (with the extension .mif) that specif...
用户1238217 2009-01-19 17:38
用matlab生成mif文件
网上有一位网友提供了如何使用matlab生成mif文件的方法。但经过试验,在Quartus中无法打开生成的mif文件,提示有语法错误。以下是hustzq的一个小程序,生成了[0,pi/2]区间的正弦表...
用户1238217 2009-01-19 14:27
【转帖】利用excel生成“.MIF”文件的办法
注:本文转帖自网友ql_smbj的博客:http://blog.ednchina.com/ql_smbj在FGPA的设计中,经常会对ROM进行初始化操作,如果内存数据不是很大(比如几十个),完全可以在...
我要评论
5
6
关闭 站长推荐上一条 /3 下一条