文章
首页 我的博文
用户39557 2009-12-2 09:45
只需十秒,免费教你如何快速申请QQ号
只需十秒,免费教你如何快速申请QQ号 近年来网友们申请Q号的情况可以用“彩票易中,一号难求”来形容。 因为我一直用着固定的几个号码,所以现在暂时也体会 ...
用户39557 2009-11-11 13:32
nios下如何定制目标板-2
nios下如何定制目标板-2 ⑺ 修改 JTAG UART 的设置。 mk_target_board 自动生成了 Module Name 为 jtag_uart_0 的部件,但是不同版本生成 ...
用户39557 2009-11-11 13:31
nios 中如何定制目标板--1
nios 中如何定制目标板--1 http://blog.21ic.com/user1/1472/archives/2007/35450.html 1. 1. 为何要做 Target Board ? ?XML:NAMESPACE PREF ...
用户39557 2009-10-11 11:20
c图形模式
1 #include graphics.h int main() { int gdriver,gmode;     //定义图形驱动器变量和图形模式变量 detectgraph(gdriver,gmode);    //检测图形模 ...
用户39557 2009-9-25 15:48
typedef用法
typedef用法 typedef到处都是,但是能够真正懂得typedef使用的不算太多。对于初学者而言,看别人的源码时对到处充斥的typedef往往不知所错,而参考书 ...
用户39557 2009-6-18 16:49
Modelsim 教程(转)
快速上手四部曲 :建立Project、引進HDL Files、Compile、模擬(Simulate/Loading and Run) 建立 一個新的Project 1-1 第一次 ...
用户39557 2009-6-15 16:43
NiosII软处理器快速入门- 10分钟学会NiosII
Nios简单介绍: Nios II是一个用户可配置的通用RISC嵌入式处理器。在这儿,我引用了Altera公司关于NiosII的官方介绍: Altera推出的Nios? II系列嵌入 ...
用户39557 2009-4-30 14:58
循环冗余校验码的单片机及CPLD实现
  摘要: 循环冗余码校验(CRC)是一种可靠性很高的串行数据校验方法。介质循环冗余码校验的基本原理,并分别用单片机和CPLD作了循环冗余码验的软件实现和硬件实 ...
用户39557 2009-4-30 11:00
循环冗余校验码(CRC)的基本原理
循环冗余校验码(CRC)的基本原理   循环冗余校验码(CRC)的基本原理是: 在K位信息码后再拼接R位的校验码,整个编码长度为N位,因此,这种编码 ...
用户39557 2009-4-27 10:25
FPGA按键模式的研究与设计
肖 看,朱光喜,刘文予 (华中科技大学 电子与信息工程系,湖北 武汉430074) 2009-03-19 摘 要: 对FPGA设计中使用按键的常见方式进行归纳概括,提 ...
用户39557 2009-3-17 14:36
基于FPGA和SRAM的数控振荡器的设计与实现
1 引言   数控振荡器是数字通信中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展。对传送数据的精 ...
用户39557 2009-3-17 14:21
基于CPLD的Flash读取控制的设计与实现
基于CPLD的Flash读取控制的设计与实现 2009-03-02 10:24 摘 要:在使用Flash存储数据时,有时需要对其设计读写控制逻辑。本文介绍了用VHDL语 ...
用户39557 2009-3-17 14:15
vhdl语言 4位加法器程序
------------------------------------------- -- 4-bit binary adder using integer math -- ------------------------------------------- LIBRARY ieee ...
用户39557 2009-3-17 14:14
用CPLD实现单片机读写模
  CPLD(复杂可编程逻辑电路)是一种具有丰富的可编程I/O引脚的可编程逻辑器件,具有在系统可编程、使用方便灵活的特点;不但可实现常规的逻辑器件功能,还可实 ...
用户39557 2009-3-17 14:12
cpld锁存器的程序
library ieee; use ieee.std_logic_1164.all; entity latch373 is port( d:in std_logic_vector(7 downto 0); oe,g:in std_logic; q0,q1,q2,q3,q4,q5,q6,q7: ...
关闭 站长推荐上一条 /2 下一条