EE直播间
更多
文章
首页 我的博文
用户396200 2010-6-3 17:21
ModelSim SE操作指南
第一章 介 绍 本指南是为 ModelSim5.5f版本编写的,该版本运行于UNIX和Microsoft Windows 95/98/Me/NT/2000的操作系统环境中。本指南覆盖了VHDL和Veril ...
用户396200 2010-6-3 16:56
modelsim入门简单教程
至今还没有弄明白为什么要用ModelSim,因为看波形Quartus II自带的工具就可以了啊。 我刚刚接触modelsim,我想大多数菜鸟跟我一样,看过如何使用ModelSim ...
用户396200 2010-6-3 16:55
NIOS II自定义指令设计之实例篇
好难得找到一篇这么完整的自定义指令操作,学习学习!! 1  自定义指令添加 在当前工程文件夹下建立ip文件夹(当前工程目录下的ip文件夹是SOPCBuilder的ip ...
用户396200 2010-6-3 16:53
Verilog(FPGA/CPLD)设计小技巧
这是一个在设计中常犯的错误列表这些错误常使得你的设计不可靠或速度较慢为了提高你的设计性能和提高速度的可靠性你必须确定你的设计通过所有的这些检查 ...
用户396200 2010-6-3 16:52
FPGA
这些都是我在编程时发现的问题,总结一下,怕以后忘了 以我目前的水平不明白类似关键路径,组合数目什么高深理论,这都是我从实际中学到的,比看书学的印象深刻 ...
用户396200 2010-6-3 16:51
电子世界
电子产品世界的论坛 http://bbs.edw.com.cn/index.asp 恒颐高科论坛 http://www.hyesco.com/bbs/index.asp 悠悠电子设计 http://www.uu ...
用户396200 2010-6-3 16:49
UART的FPGA设计源码
顶层文件 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use work.uart_1.all; entity UART ...
用户396200 2010-6-3 16:48
周立功博文]答博友问:如何兼顾学习ARM与FPGA!
对于初学者来说,要学的知识点很多,到底从哪里下手,人们常常感到非常迷茫。 大一学生先从C语言开始入门,在大一阶段由于对计算机还非常陌生,因 ...
用户396200 2010-6-3 16:46
FPGA RAM
读写RAM module ram (ram_clk,wr,rd,cs,ram_data_bus,data_out_bus,ram_addr_bus); input ram_clk; input wr,rd; input cs; output data_out_bus;   //读出 ...
用户396200 2010-6-3 16:45
学习Nios Development
Board Reference Manual, Stratix II Edition。   (1)在使用Nios II SDK Shell试运行./restore_my_flash时,发现restore_my_flash会区分目录名的大小写, ...
用户396200 2010-6-3 16:30
简易频率计
顶层文件 LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY jypyj IS  port  (   clk :  IN  STD_LOGIC;   fun :  IN  STD ...
用户396200 2010-6-3 16:28
循环LED灯
---     用FPGA点LED灯,初始状态LED灯熄灭,    ---    第一次按键: LED灯闪烁;    ---    第二次按键: LED灯恒亮;    ---     第三次 ...
用户396200 2010-6-3 16:24
FPGA中FIFO的配置
今天我们来讨论一下通过Quartus2中的Mega-plugin来配置一个FIFO,这是偏 软件的,但是今天我把归为硬件来讲,因为其中有许多是硬件相关联的。 首先我们TOOL ...
用户396200 2010-6-3 16:24
FPGA中FIFO的配置
今天我们来讨论一下通过Quartus2中的Mega-plugin来配置一个FIFO,这是偏 软件的,但是今天我把归为硬件来讲,因为其中有许多是硬件相关联的。 首先我们TOOL ...
用户396200 2010-6-3 16:22
FPGA播放声音和音乐
这里我们将让我们的FPGA播放声音和音乐。我们从产生一个单频音开始。然后,逐步让它实现一些更加有趣的功能,例如播放警笛和曲子。 这个工程中用到的硬件器件 ...
关闭 站长推荐上一条 /3 下一条