资料
  • 资料
  • 专题
基于FPGA的AES硬件实现及优化
推荐星级:
时间:2019-06-07
大小:818.92KB
阅读数:1256
上传用户:royalark_912907664
查看他发布的资源
下载次数
1
所需E币
3
ebi
新用户注册即送 300 E币
更多E币赚取方法,请查看
close
资料介绍
AES(Advanced Encryption Standard)是一种非常流行的对称加密算法,字节替换是AES算法中十分重要的部分。针对采用复合域方法来实现字节替换吞吐率小的问题,本文利用先计算的方法进行了5级轮内流水线设计,去除关键路径上的一些计算来降低关键路径延迟提高吞吐率。在FPGA器件Virtex-6 XC6VLX240T上,通过Xilinx ISE 14.7进行仿真实验,结果表明在面积增加相对不大的情况下,提高了吞吐率以及吞吐率/面积比。
版权说明:本资料由用户提供并上传,仅用于学习交流;若内容存在侵权,请进行举报,或 联系我们 删除。
相关评论 (下载后评价送E币 我要评论)
没有更多评论了
  • 可能感兴趣
  • 关注本资料的网友还下载了
  • 技术白皮书