资料
  • 资料
  • 专题
UART设计
推荐星级:
类别: 消费电子
时间:2020-01-15
大小:90.5KB
阅读数:122
上传用户:givh79_163.com
查看他发布的资源
下载次数
0
所需E币
4
ebi
新用户注册即送 300 E币
更多E币赚取方法,请查看
close
资料介绍
URAT_VHDL 8.8 URAT VHDL程序与仿真。 1. 顶层程序与仿真 (1)顶层程序 --文件名:top.vhd。 --功能:顶层映射。 --最后修改日期:2004.3.24。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity top is Port (clk32mhz,reset,rxd,xmit_cmd_p_in:in std_logic; -- 总的输入输出信号的定义 rec_ready,txd_out,txd_done_out:out std_logic; txdbuf_in:in std_logic_vector(7 downto 0); --待发送数据输入 rec_buf:out std_logic_vector(7 downto 0)); -- 接收数据缓冲 end top; architecture Behavioral of top is component reciever Port (bclkr,resetr,rxdr:in std_logic; r_ready:out std_logic; rbuf:out std_logic_vector(7 downto 0)); end component; component transfer Port (bclkt,resett,xmit_cmd_p:in std_logic; txdbuf:in std_logic_v……
版权说明:本资料由用户提供并上传,仅用于学习交流;若内容存在侵权,请进行举报,或 联系我们 删除。
PARTNER CONTENT
相关评论 (下载后评价送E币 我要评论)
没有更多评论了
  • 可能感兴趣
  • 关注本资料的网友还下载了
  • 技术白皮书