MPSK调制与解调VHDL程序与仿真 8.14 MPSK调制与解调VHDL程序与仿真 2. MPSK调制程序及注释 --文件名:PL_MPSK --功能:基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4) --说明:调制信号说明如表8.14.2所示。 表8.14.2 调制信号说明 |信号yy |载波相位 |载波波形 |载波符号 | |“00” |0° |[pic] |f3 | |“01” |90° |[pic] |f2 | |“10” |180° |[pic] |f1 | |“11” |270° |[pic] |f0 | --最后修改日期:2004.2.14 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_MPSK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end PL_MPSK; ……