EE直播间
更多
文章
用户136726 2009-12-13 17:04
转载:关于旁路电容的深度对话
通过一次关于基本知识的对话,让我们深入考察那没有什么魅力但是极其关键的旁路电容和去耦电容。  编辑引言:旁路电容是关注度低、没有什么魅力的元器件,一般 ...
用户136726 2009-12-11 11:36
数码管动态显示的VHDL程序
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity led is port( clkfast:in std_logic; ...
用户136726 2009-12-7 15:41
DS1302的C51程序
#includereg52.h #includeintrins.h /* 实时时钟模块 时钟芯片型号:DS1302 */ #define uchar unsigned char sbit ACC0=ACC^0; sbit ACC7=ACC^7; /*/ /* ...
关闭 站长推荐上一条 /3 下一条