资料
  • 资料
  • 专题
波形发生程序
推荐星级:
时间:2019-12-25
大小:60.5KB
阅读数:557
上传用户:16245458_qq.com
查看他发布的资源
下载次数
0
所需E币
5
ebi
新用户注册即送 300 E币
更多E币赚取方法,请查看
close
资料介绍
波形发生程序 8.23多功能波形发生器VHDL程序与仿真 --文件名:mine4.vhd。 -- 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 -- A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 -- 个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量阶为1/51V)。 -- 其中方波的幅度还可通过u0、d0调节输出数据的归一化幅值(AMP0)进行进一步 -- 细调(调节量阶为1/(51*255)V)。方波A的占空比通过zu、zp按键调节(调节 --量阶1/64*T)。系统采用内部存储器——RAM实现任意输入波形的存储,程序只支 --持键盘式波形特征参数置入存储,posting 为进入任意波置入(set)、清除(clr)状态 --控制信号,SSS控制存储波形的输出。P180为预留端口, --最后修改日期:2004.3.26。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mine4 is port(clk : in std_logic; -- 时钟信号输入 set, c……
版权说明:本资料由用户提供并上传,仅用于学习交流;若内容存在侵权,请进行举报,或 联系我们 删除。
PARTNER CONTENT
相关评论 (下载后评价送E币 我要评论)
没有更多评论了
  • 可能感兴趣
  • 关注本资料的网友还下载了
  • 技术白皮书