资料
  • 资料
  • 专题
TLC5510 VHDL控制程序
推荐星级:
时间:2019-12-25
大小:21KB
阅读数:276
上传用户:quw431979_163.com
查看他发布的资源
下载次数
0
所需E币
5
ebi
新用户注册即送 300 E币
更多E币赚取方法,请查看
close
资料介绍
TLC5510 VHDL控制程序 8.5 TLC5510 VHDL控制程序 见随书所附光盘中文件:TLC5510VHDL程序与仿真。 --文件名:TLC5510.vhd --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 library ieee; use ieee.std_logic_1164.all; entity tlc5510 is port(clk :in std_logic; --系统时钟 oe :out std_logic; --TLC5510的输出使能/OE clk1:out std_logic; --TLC5510的转换时钟 din:in std_logic_vector(7 downto 0); -- 来自TLC5510的采样数据 dout:out std_logic_vector(7 downto 0)); --FPGA数据输出 end tlc5510; architecture behav of tlc5510 is signal q:integer range 3 downto 0; begin process(clk) --此进程中,把CLK 进行4分频,得到TLC5510的转换时钟 begin if clk'event and clk='1' then if q=3 then q else q end if; en……
版权说明:本资料由用户提供并上传,仅用于学习交流;若内容存在侵权,请进行举报,或 联系我们 删除。
相关评论 (下载后评价送E币 我要评论)
没有更多评论了
  • 可能感兴趣
  • 关注本资料的网友还下载了
  • 技术白皮书