EDA/ IP/ 设计与制造
首页 EDA/ IP/ 设计与制造
用户227081 2009-7-9 16:09
人生好比做期货
人生好比做期货 ?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" /   一提到投资,人们就想到用钱生钱 ...
用户1077649 2009-7-9 15:39
记录dc的几条命令
1,set_clock_uncertainty   (uncertainty 也就是skew) 2,lib的DRC规则是为了防止在制造过程中出错而设定的,受限于工厂的工艺技术 3,check_design 4,report_h ...
用户167998 2009-7-8 15:25
工业相机,工业摄像机,机器视觉
工业相机,工业摄像机,机器视觉  单色USB工业相机   定购代码 目录          类型          分辨率   感光元件    帧速率  MV- VD ...
用户167998 2009-7-8 15:24
CCD相机,CCD摄像机,CCD摄像头
CCD相机,CCD摄像机,CCD摄像头 陕西维视数字图像技术有限公司专业研发生产工业CCD摄像机,工业CCD相机、工业CCD摄像头,工业摄像机,工业数字摄像头,工业相机 ...
用户191231 2009-7-8 10:25
现货供应西门子PLC
  6ES7312-5BE03-OABO 6ES7323-1BLOO-OAAO 6ES7138-4CB110-0AB0 6ES7390-1AB60-OAAO 6ES7972-ODA00-0AA0 6ES7972-0CA23-OXAO 6ES7390-1AF30-0AA0 6ES7390 ...
用户167589 2009-7-7 22:48
LVS实例
熟悉netlist 文件 例: *******MUX2 NETLIST ******** *.BIPOLAR *.RESI=1K .INCLUDE ./inv.cir .PARAM .GLOBAL VSS:G VDD:P ******************* ...
用户204078 2009-7-7 15:40
1746-IM16 1746-IM8
武汉超新自动化设备有限公司大量特价销售美国 AB 系列产品!欢迎选购! 13476165297     联系肖小姐    QQ:489521916      网址 :www. ...
用户188619 2009-7-7 14:17
电控学院首届电子制作竞赛圆满结束
4月19日,由电控学院分团委、电工电子实训中心联合举办的首届电控学院电子制作竞赛落下帷幕。本次大赛历时21天,共有三个院系的45名同学报名参加。经过初赛、决 ...
用户188619 2009-7-7 14:15
万州与马来西亚企业合作建设电子制作基地
17日,万州工业园区管理委 软文营销 员会与马来西亚创亿集团签订投资协议,双方约定共同合作建设万州电子制造基地。市委常委、万州区委书记吴政隆出席签 ...
用户188619 2009-7-7 14:11
中小学教学将推广电子制作
中小学教学将推广电子制作发布时间:2009-04-09 10:38:25  来源/作者:生活新报  【如何订阅手机报】   昨日,2009年昆明市中小学生电子制作观摩活动及 ...
用户750080 2009-7-7 11:40
现货模块MG100H2CL1
MG100H2CL1型号:MG100H2CL1  MG100H2CL1封装:模块 MG100H2CL1数量:56 MG100H2CL1品牌:东芝 MG100H2CL1备注:质量保证 咨询:13612857680   董小姐   QQ:3 ...
用户194577 2009-7-6 16:35
whered you go
Where'd you go? I miss you so. Seems like it's been forever. That you have been gone. He said "some days i feel like shit. Some days i wanna ...
用户1471583 2009-7-6 12:20
一个intel员工写的 VHDL的FIFO程序
懂FPGA VHDL 或者Verilog的 仔细体会一下的他的数据有效位和数据的同步,收发数据,有效位与时钟的关系, 有效位的触发。。。。。。。 非常严谨的程序,仔细品 ...
用户1471583 2009-7-6 11:45
一个可综合的Verilog 写的FIFO存储器
Synthesizable FIFO Model This example describes a synthesizable implementation of a FIFO. The FIFO depth and FIFO width in bits can be modified b ...
用户1471583 2009-7-6 11:38
8*8位的fifo的vhdl源程序
library ieee;       use ieee.std_logic_1164.all;       entity fifo is        generic( w: integer :=8;  k: integer :=8 );       ...
EE直播间
更多
关闭 站长推荐上一条 /1 下一条