-
xucun915_925777961
2010-12-24 11:07
-
PowerPCB 转 SCH教程
-
在附件里面,大家有兴趣的话可以看看。
-
-
xucun915_925777961
2010-12-23 17:29
-
EWB学习
-
第一章 EWB概述 Electronics Work bench(简称EWB),中文又称电子工程师仿真工作室。该软件是加拿大交换图像技术有限公司(INTERACTIVE IMAGE TECHNOLOGIES Ltd) ...
-
-
xucun915_925777961
2010-12-23 11:33
-
印制电路板DFM通用技术要求
-
本标准规定了单双面印制电路板可制造性设计的通用技术要求,包括材料、尺寸和公差、印制导线和焊盘、金属化孔、导通孔、安装孔、镀层、涂敷层、字符和标记等。 ...
-
-
xucun915_925777961
2010-12-23 10:32
-
KEIL学习
-
上传一些KEIL的教程 对初学者可能有用^_^
-
-
xucun915_925777961
2010-12-23 09:45
-
循环彩灯8路
-
library ieee ; use ieee.std_logic_1164.all; entity lamp is port (nrst : in std_logic; --negative shift_direction:in std_logic;--'1' fo ...
-
-
xucun915_925777961
2010-12-23 09:27
-
十进制计数器
-
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --************************* ENTITY cou ...
-
-
xucun915_925777961
2010-12-23 09:22
-
VHDL编程--5分频器
-
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to u ...
-
-
xucun915_925777961
2010-12-22 15:29
-
C语言出错信息速查(上)
-
Ambiguous operators need parentheses 不明确的运算需要用括号括起 Ambiguous symbol ``xxx`` 不明确的符号 Argument list syntax error 参数表法 ...
-
-
xucun915_925777961
2010-12-22 15:28
-
C语言出错信息速查(下)
-
接上页: C语言出错信息速查(上) Must be addressable 必是可以址的 Must take address of memory location 必存定位的地址 No declaration for functi ...
-
-
xucun915_925777961
2010-12-22 14:33
-
基于嵌入式处理器的电力谐波多功能实时分析系统
-
随着我国电气化铁道的迅速发展及冶金、化工等工业部门大量应用换流技术,电力系统中的谐波问题已日趋严重,谐波对电力系统和用电设备已产生了严重危害和影响。 ...
-
-
xucun915_925777961
2010-12-22 11:52
-
PIC16F877的SPI74HC595显示程序
-
#include static volatile int table ={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xd8,0x80, 0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e,0x7f,0xbf,0x89,0xff}; vola ...
-
-
xucun915_925777961
2010-12-22 11:32
-
自制ICD2
-
我自己做了一个 觉得还可以 大家也可以做一个 如果觉得不错的话,别忘了顶一下呀^_^ 附件1:电路图 附件2:程序 ...
-
-
xucun915_925777961
2010-12-21 16:43
-
初学者拿来就可以用的程序四(中断+数码管动态扫描+3*4矩阵按键) (上)
-
//K程序主要是测试配置位的使用要调用87X.H查看 //定时中断 //原来4的程序是可能为没有按键放开的程序 //程序5修正按键松开的程序 //biao是指示是 ...
-
-
xucun915_925777961
2010-12-21 16:42
-
初学者拿来就可以用的程序四(中断+数码管动态扫描+3*4矩阵按键)(下)
-
初学者拿来就可以用的程序四(中断+数码管动态扫描+3*4矩阵按键)(上) //show=0;//显示清零 s=0 ; ss=0; adrshow=1; ...
-
-
xucun915_925777961
2010-12-21 16:25
-
ADC0804转换程序
-
好久没在这发表日志了,写了一段AD0804的程序,希望对新手们有所帮助^_^也希望高手能出来指点错误…… #include unsigned char code seg7code ={0 ...
-
关闭
站长推荐
/3