原创 三十而立学FPGA之UART

2022-5-20 07:14 2075 15 4 分类: FPGA/CPLD 文集: FPGA
UART介绍 简介

通用异步收发器(Universal Asynchronous Receiver/Transmitter),既UART

时序

 

根据时序图可以了解到:

1. uart在空闲的时候是高电平
2. 当突变为低电平或者有一个下降沿,则是告知接收方uart要传数据了
3. 这里实现为8bit数据传输,当数据传输完成,在1或1.5或2个时钟周期内将传输线拉高,表示停止传输

UART之RX实现 状态转移图

 

源代码 
状态转移实现

  1. always @(*)
  2. begin
  3. case (state)
  4. IDLE:begin
  5. if(rx_pin==1'b0)
  6. nextstate <= START;
  7. else
  8. nextstate <= IDLE;
  9. end
  10. START:begin
  11. if(cycle_cnt == CYCLE_CNT_MAX-1)//当一个BIT周期后,接收数据
  12. nextstate <= REC_BYTE;
  13. else
  14. nextstate <= START;
  15. end
  16. REC_BYTE:begin
  17. if(cycle_cnt == CYCLE_CNT_MAX-1&&bit_cnt==4'd7)//8位数据接收完成,跳转到检测停止位
  18. nextstate <= STOP;
  19. else
  20. nextstate <= REC_BYTE;
  21. end
  22. STOP:begin
  23. if(cycle_cnt == CYCLE_CNT_MAX/2-1)//半个bit周期,检测到停止位,将接收的数据发送到其他模块
  24. nextstate <= DATA;
  25. else
  26. nextstate <= STOP;
  27. end
  28. DATA:begin //一个时钟周期后模块进入空闲态
  29. nextstate <= IDLE;
  30. end
  31. endcase
  32. end

时序描述

 

  1. //周期计数,既系统时钟内每个BIT所需要的周期数,通过波特率可计算出最大计数值</p><p style="margin-top: 0; margin-bottom: 0;">
  2. always@(posedge clk or negedge rst_n)
  3. begin
  4. if(rst_n == 1'b0)
  5. cycle_cnt <= 16'd0;
  6. else if(state == REC_BYTE && (cycle_cnt == CYCLE_CNT_MAX-1||nextstate != state))//只需要在开始接收数据时开始计数,所以前提条件是状态在REC_BYTE,而且如果计数值达到最大值或者在状态跳转的时候都需要将计数值清零
  7. cycle_cnt <= 16'd0;
  8. else
  9. cycle_cnt <= cycle_cnt + 16'd1;
  10. end
  11. //位计数,以确认接收的位数
  12. always@(posedge clk or negedge rst_n)
  13. begin
  14. if(rst_n == 1'b0)
  15. bit_cnt <= 4'd0;
  16. else if(state == REC_BYTE) //仅在接收数据状态时进行位计数
  17. if(cycle_cnt == CYCLE_CNT_MAX-1)
  18. bit_cnt <= bit_cnt + 4'd1;
  19. else
  20. bit_cnt <= bit_cnt;
  21. else
  22. bit_cnt <= 4'd0;
  23. end
  24. //数据接收
  25. always@(posedge clk or negedge rst_n)
  26. begin
  27. if(rst_n == 1'b0)
  28. rx_data_r <= 8'd0;
  29. else if(state == REC_BYTE && cycle_cnt == CYCLE_CNT_MAX/2-1)
  30. rx_data_r[bit_cnt] <= tx_pin;
  31. else
  32. rx_data_r <= rx_data_r;
  33. end
  34. //将数据传输给其他模块
  35. always@(posedge clk or negedge rst_n)
  36. begin
  37. if(rst_n == 1'b0)
  38. rx_data <= 8'd0;
  39. else if(state == STOP || nextstate != state)
  40. rx_data <= rx_data_r;
  41. end
  42. //接收完成标志
  43. always@(posedge clk or negedge rst_n)
  44. begin
  45. if(rst_n == 1'b0)
  46. rx_done <= 1'b0;
  47. else if(state == STOP )
  48. rx_done <= 1'b1;
  49. else
  50. rx_done <= 1'b0;
  51. end

 

UART之TX实现

实现TX就不用三段式状态机这么麻烦了,直接用序列机完全就可以了

模块使能或者说发送请求

  1. always@(posedge clk or negedge rst_n)
  2. begin
  3. if(rst_n == 1'b0)
  4. tx_en <= 1'b0;
  5. else if(tx_req_pos == 1'b1)
  6. tx_en <= 1'b1;
  7. else if(bit_cnt == 4'd11)
  8. tx_en <= 1'b0;
  9. else
  10. tx_en <= tx_en;
  11. end

 

周期计数、位计数

  1. //周期计数,既系统时钟内每个BIT所需要的周期数,通过波特率可计算出最大计数值
  2. always@(posedge clk or negedge rst_n)
  3. begin
  4. if(rst_n == 1'b0)
  5. cycle_cnt <= 16'd0;
  6. else if(tx_en)
  7. if(cycle_cnt == CYCLE_CNT_MAX-1)//当模块使能时,开始计数,计数到最大值再从零开始
  8. cycle_cnt <= 16'd0;
  9. else
  10. cycle_cnt <= cycle_cnt + 16'd1;
  11. else
  12. cycle_cnt <= 16'd0;
  13. end
  14. //位计数
  15. always@(posedge clk or negedge rst_n)
  16. begin
  17. if(rst_n == 1'b0)
  18. bit_cnt <= 4'd0;
  19. else if(cycle_cnt == 16'd1) //如果计数到最大值bit_cnt累加的话,那么数据发送需要多等一个bit周期
  20. bit_cnt <= bit_cnt + 4'd1;
  21. else if(bit_cnt == 4'd11)
  22. bit_cnt <= 4'd0;
  23. else
  24. bit_cnt <= bit_cnt;
  25. end

发送数据

  1. always@(posedge clk or negedge rst_n)
  2. begin
  3. if(rst_n == 1'b0)
  4. tx_pin_r <= 1'b1;
  5. else
  6. case(bit_cnt)
  7. 0:tx_pin_r <= 1'b1; //这里需要避免bit_cnt=0的时候发送起始位,因为当复位的时候bit_cnt的值是零的,会在复位时就已经发出了起始位,而导致接收端的误判和发送的时序紊乱
  8. 1:tx_pin_r <= START_BIT;
  9. 2:tx_pin_r <= send_data[0];
  10. 3:tx_pin_r <= send_data[1];
  11. 4:tx_pin_r <= send_data[2];
  12. 5:tx_pin_r <= send_data[3];
  13. 6:tx_pin_r <= send_data[4];
  14. 7:tx_pin_r <= send_data[5];
  15. 8:tx_pin_r <= send_data[6];
  16. 9:tx_pin_r <= send_data[7];
  17. 10:tx_pin_r <= STOP_BIT;
  18. default: tx_pin_r <= 1'b1;
  19. end

 

 

作者: 二月半, 来源:面包板社区

链接: https://mbb.eet-china.com/blog/uid-me-1862109.html

版权声明:本文为博主原创,未经本人允许,禁止转载!

文章评论2条评论)

登录后参与讨论

雏羽 2022-6-16 17:17

luckyzy2000: 现在的三十还是算年轻人:)
不年轻了吧,再过十年就没啥精力了

luckyzy2000 2022-6-16 09:58

现在的三十还是算年轻人:)
相关推荐阅读
二月半 2024-05-09 11:10
ADS软件分享与安装
ADS软件的简介ADS软件(Advanced Design System)主要用于射频(RF)、微波(Microwave)和毫米波(Millimeter-wave)电路的设计、仿真和分析。它提供了一套...
二月半 2024-03-31 09:22
从零开始摄像头驱动设计(一)_I2C框架及设备树插件应用
前言像我这样很多学习驱动的同学都会想一个问题:学了这个能干嘛?学了那个能干嘛?姑且找找网络上开源的项目,找找,看看,还是一脸懵。因为开源只提供源码和大致介绍下做什么和有什么。而面对于基础开发者的博客还...
二月半 2024-03-28 09:10
高速电路设计、完整性设计推荐书籍
信号传输如今是越来越高速,伴随着的将是更多的信号完整性的问题。然而电源的完整性也影响着信号的完整性。这样的高速传输,以前不用太多考虑的EMI问题,也越来越被关注。 针对于这些问题,对于layout工...
二月半 2024-03-15 07:01
Cadence之创建自己的titleblock
在刚接触PCB原理图设计的时候,很多人是不会关注图纸Tile Block的。原因是觉得用不上。然而工作的时候这个反而很重要。原因呢,一是形成一个统一标准,便于归档,整洁清楚,二是其中包含重要信息,比如...
二月半 2024-03-12 09:38
allegro之坚固的半孔制作
随着芯片不断的更新迭代,一浪拍死一浪。做硬件的特别头疼,核心电路或者可复用电路因为某一个板卡需要重新设计整板。很多都是做的无用功,为了更加高效的完成工作,然后摸鱼。硬件界的人才们,找到了很多方法。最多...
二月半 2024-03-07 11:03
ALLegro之单独设置PIN脚与覆铜连接方式
 设计PCB时,有很多时候在总电源输入处需要将一部分pin脚设置为全连接,给大电流拓宽通道。然而如果往常针对同一覆铜下的同属性pin脚只能全部设置为全连接或者其他。所以,在初学者手上也出现了...
我要评论
2
15
关闭 站长推荐上一条 /2 下一条