FPGA/CPLD
首页 FPGA/CPLD
用户1573658 2009-12-14 01:07
FPGA系统设计实战经验
FPGA系统设计实战经验分享(转帖) 这个帖子主要和大家介绍一些我们在FPGA硬件系统设计过程中遇到的问题和解决的方法。也欢迎大家一起参与讨论。主要涉及以下 ...
用户233599 2009-12-13 23:18
千兆网传输项目概述
1.硬件实现 :ti公司的tlk1501,altera的ep3c5e144c8n 2 .说明模块的设计功能:       1、可以实现千兆数据传输        2、可以 ...
用户233599 2009-12-13 23:05
千兆网传输12月5日调试日记
  实验:新调试两块实验板 1.测试外环是否有问题后。验证发现:能走外环但接收时钟 != 50% 2.解决了缓冲区管理模块的验证问题 3.打开原来的工程一定要重 ...
用户233599 2009-12-13 22:57
千兆网传输收发器12月3日调试日记
收发器现象记录: 实验一.  LPOOPEN 高 PRBSEN 高   RX_ER/PRBSPASS 高 RX_DV 高 实验二.  LPOOPEN 低 PRBSEN 高 连上同轴线   RX_ER/PRBSPASS 低 RX_DV ...
用户233599 2009-12-13 22:53
千兆网传输测试实验设计
1. 内部环和伪随机数据流测试(单板实验) ?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" / 测试原理: LOOPEN ...
用户233599 2009-12-13 22:49
千兆网传输12月2日调试日记
1.发送数据成功 发送程序验证完成 用sigaltapII接收接受端   问题1:编译失败:ram 不够 原因:nios占用ram太多   改为:onchip_ram 32K  onchip_mem 2K  ...
用户1407410 2009-12-13 16:51
Create Platform Supported Package
Platform Supported Package (PSP)  的作用无非两点: 1)   PSP 描述每一个特定 Platform 的配置, CoDeveloper 会参考 PSP 生成特定 ...
用户147800 2009-12-13 12:56
Modelsim SE 仿真 xilinx
1. 启动GUI编译仿真库 2. 编译完成之后, 复制 modelsim.ini 到 modelsim 安装目录 C:\Xilinx\11\ISE\modelsim.ini -- C:\modeltech_6.5d\modelsim.ini ...
用户1407410 2009-12-13 11:03
Impulse C 简介
Impulse Accelerated Technologies 推出了一款新工具 CoDeveloper ,该产品提供的硬件 / 软件协同开发环境和面向带嵌入式处理器的 FPGA 设计。设计人员 ...
用户1515030 2009-12-12 17:31
FPGA系统设计初级和中级班
热线:021-51875830 62450161 0755-61280252 传真:021-62450161 0755-25912501 业务手机:15921673576 详情请访问网站: http://www.bjzhda.cn/       ...
用户1515030 2009-12-12 17:31
FPGA系统设计高级班
热线:021-51875830 62450161 0755-61280252 传真:021-62450161 0755-25912501 业务手机:15921673576 详情请访问网站: http://www.bjzhda.cn/       ...
用户233593 2009-12-11 20:00
车库门维修不同的管理模式之间的区别
车库门维修 不同的管理模式之间的区别 一个行业要取得好的发展,必须得依靠好的管理模式。车库门维修行业层出不穷的管理模式正是车库门维修行业发展如此迅 ...
用户136726 2009-12-11 11:36
数码管动态显示的VHDL程序
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity led is port( clkfast:in std_logic; ...
用户1318081 2009-12-10 21:02
Altera 推出面向 Stratix IV FPGA的最新开发套件
Altera公司近日宣布推出其面向 Stratix IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套件为用户提供了全面的 ...
用户235978 2009-12-8 20:56
转载:步进电机定位控制系统VHDL程序与仿真
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity step_motor is port (reset:in STD_ ...
EE直播间
更多
关闭 站长推荐上一条 /3 下一条