FPGA/CPLD
首页 FPGA/CPLD
用户1373263 2009-8-30 11:36
如何知道你的FPGA设计等效于多少门
FPGA的最终面积不但和要 实现 的 功能 有关,而且和不同人的不同 设计 方法有关,比如同样一个buffer,用block memory 和 core gen 的方法可能面积很省,但是 ...
wangqiaoyu_888_963244381 2009-8-30 10:20
SignalTap Ⅱ嵌入式逻辑分析仪的使用【转】
随着FPGA设计任务复杂性的不断提高,FPGA设计调试工作的难度也越来越大,在设计验证中投入的时间和花费也会不断增加。为了让产品更快投入市场,设计者必须尽可 ...
esad0 2009-8-30 08:55
ModelSim 仿真全加器
module adder1 (a,b,ci,so,co); input a,b,ci; output so,co; assign{so,co} = a+b+ci; endmodule //-------------------------------测试程序 module adde ...
用户152476 2009-8-29 16:12
触发器与锁存器的区别
" 触发器 " 泛指一类电路结构 , 它可以由触发信号 ( 如 : 时钟 , 置位 , 复位等 ) 改变输出状态 , 并保持这个状态直到下一个或另一 ...
用户1238217 2009-8-28 22:02
关于quartus hdl综合的一个疑问
今天用quartus写了一个带clock enable的d触发器: library ieee; use ieee.std_logic_1164.all; entity test is     port(d, ena, clk, reset: in std_logic ...
用户252256 2009-8-28 15:14
上海浦东保洁公司使阳台窗户更加整洁美观,增强阳台的实用性
上海浦东保洁公司使阳台窗户更加整洁美观,增强阳台的实用性     上海浦东保洁公司针对阳台这一特殊空间所具有的特性,现在又有了一种突破性的变化,那就 ...
用户598309 2009-8-28 10:45
Modelsim仿真之二
上回说到按步骤进行仿真后,得不到正确的结果。我的解决办法是添加一个 reset 信号。 这一次的仿真结果如下图所示: 分析其原因,估计 ...
用户598309 2009-8-28 10:39
modelsim仿真之一
  在换了三四个 key 之后总算把 modelsim6.1g 给安装上了。看了不少论坛里的帖子,发现教程总是容易把人绕进去。总结了下,其实 modelsim 的使用还 ...
用户396724 2009-8-27 15:50
分享Android、WinCE及FPGA开发经验!
推荐一下这个讲座,9月19日,深圳大学! 由华清远见举办的,完全免费,对以下内容感兴趣的朋友不妨听听~~~~~~~~ 分享一、Google开放性移动设备综合平台Andro ...
用户250163 2009-8-27 12:58
南方航空特價机票:4006-199-330
     中国南方航空集团公司是国内三大航空集团之一。集团资产达 501亿元,拥有运输飞机180多架、航线666条,员工34268人,旅 客运输量超过2900万人次 ...
bitao1983_395643617 2009-8-26 19:25
fpga jtag问题:Error: CONF_DONE pin failed to go high
    有些人在使用 Altera 的 Fpga 的时候,在用 JTAG 配置时经常会出现这样的问题: Error: CONF_DONE pin failed to go high 。 因为最近我 ...
特权ilove314 2009-8-26 19:23
recovery时序优化一例
recovery 时序优化一例 ?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" /     TimeQuest 中的 recovery ...
ash_riple_768180695 2009-8-26 13:26
关于FPGA硬件调试的三个问题
1. Q:采用Synplify综合时,如何在SignalTap II中获得对信号的最佳的可观察性?     A:我采用了一个“土”方法:在Synplify的源文件列表中把要观察的模块对 ...
用户186411 2009-8-25 19:07
4个FPGA工程师面试题目
4个FPGA工程师面试题目(经历)  FPGA与CPLD内部结构区别?       CPLD       以altraMAX7000这种PLD为例,可分为三块结构:宏单元(Marocell ...
用户186411 2009-8-25 19:06
FPGA面试宝典
FPGA面试宝典 这段时间去面试了几家公司,发现比较大的公司相对于重视基础问题。这里边又有几个问题特别的突出。他们是:同步时钟设计、亚稳态、异步FI ...
EE直播间
更多
关闭 站长推荐上一条 /3 下一条