用户1407410
2009-12-13 16:51
Create Platform Supported Package
Platform Supported Package (PSP) 的作用无非两点: 1) PSP 描述每一个特定 Platform 的配置, CoDeveloper 会参考 PSP 生成特定 ...
用户147800
2009-12-13 12:56
Modelsim SE 仿真 xilinx
1. 启动GUI编译仿真库 2. 编译完成之后, 复制 modelsim.ini 到 modelsim 安装目录 C:\Xilinx\11\ISE\modelsim.ini -- C:\modeltech_6.5d\modelsim.ini ...
用户1407410
2009-12-13 11:03
Impulse C 简介
Impulse Accelerated Technologies 推出了一款新工具 CoDeveloper ,该产品提供的硬件 / 软件协同开发环境和面向带嵌入式处理器的 FPGA 设计。设计人员 ...
用户1515030
2009-12-12 17:31
FPGA系统设计初级和中级班
热线:021-51875830 62450161 0755-61280252 传真:021-62450161 0755-25912501 业务手机:15921673576 详情请访问网站: http://www.bjzhda.cn/ ...
用户1515030
2009-12-12 17:31
FPGA系统设计高级班
热线:021-51875830 62450161 0755-61280252 传真:021-62450161 0755-25912501 业务手机:15921673576 详情请访问网站: http://www.bjzhda.cn/ ...
用户233593
2009-12-11 20:00
车库门维修不同的管理模式之间的区别
车库门维修 不同的管理模式之间的区别 一个行业要取得好的发展,必须得依靠好的管理模式。车库门维修行业层出不穷的管理模式正是车库门维修行业发展如此迅 ...
用户136726
2009-12-11 11:36
数码管动态显示的VHDL程序
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity led is port( clkfast:in std_logic; ...
用户1318081
2009-12-10 21:02
Altera 推出面向 Stratix IV FPGA的最新开发套件
Altera公司近日宣布推出其面向 Stratix IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套件为用户提供了全面的 ...
用户235978
2009-12-8 20:56
转载:步进电机定位控制系统VHDL程序与仿真
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity step_motor is port (reset:in STD_ ...
用户235978
2009-12-8 20:53
转载:步进电机的VHDL驱动(Xilinx)
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library d ...
用户1546547
2009-12-8 19:09
基于FPGA的存储解决方案——闪存
基于FPGA的存储解决方案——闪存 ?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" / 闪存存储器是嵌入系 ...
用户228613
2009-12-8 11:03
SP3E开发板实验五(串口通信实验)
通用异步串口实验 --此实验同时包含了按键和数码管显示 实验内容: 将Sboard的串口0使用串口连接线(交叉线)接到电脑的串口上,通过 ...
用户228613
2009-12-8 10:00
SP3E开发板实验四(蜂鸣器实现8个乐音实验)
蜂鸣器实验 --此实验同时使用了按键和拨码开关 实验内容: 使用4个按键和4个拨码开关控制蜂鸣器发出不同的乐音(1234567!) 注:如 ...
用户228613
2009-12-8 09:52
SP3E开发板实验三(按键实验)
按键实验 --此实验在数码管实验的基础上增加功能 实验内容: 使用按键控制SBOARD板上的4个数码管显示的数值,每个按键单独控制一位数 ...
用户228613
2009-12-8 09:50
SP3E开发板实验二(4位七段数码管制作自动计时器)
数码管实验 实验内容: 将SBOARD板上的4个数码管用循环扫描方式点亮,并且数值从3210开始每秒递增1。 注:如果使用的核心板晶振是24M,需要 ...
关闭
站长推荐
/3