首页
论坛
电子技术基础
模拟技术
可编程器件
嵌入式系统与MCU
工程师职场
最新帖子
问答
版主申请
每月抽奖
商城免费换礼
社区有奖活动
博客
下载
评测
视频
文库
芯语
资源
2025泰克杭州测试论坛
2025中国低空经济产业创新发展大会
【直播】芯片设计工艺仿真全解析
西门子数字化工业软件资源中心
嵌入式设计资源库
智能楼宇/家电控制应用全解析
在线研讨会
EE直播间
小测验
白皮书
行业及技术活动
杂志免费订阅
免费在线工具
厂商资源中心
论坛
博文
电子工程专辑
电子技术设计
国际电子商情
资料
白皮书
研讨会
芯语
文库
登录|注册
登录
最新发表
推荐阅读
明星博主
原创博文
年度排行
博文排行
博文评论
FPGA/CPLD
MCU/ 嵌入式
模拟
电源/新能源
测试测量
通信
智能手机
处理器与DSP
PCB
汽车电子
消费电子
智能硬件
物联网
软件与OS
采购与分销
供应链管理
工程师职场
EDA/ IP/ 设计与制造
无人机
机器人/ AI
医疗电子
工业电子
管理
写博文
FPGA/CPLD
首页
FPGA/CPLD
时间
时间
热度
评论
用户228613
2009-9-28 11:23
xilinx fpga 设计指导10.1(中文版)(转载)
https://static.assets-stash.eet-china.com/album/old-resources/2009/9/28/ea2c6f5d-94c2-40c4-91da-ae7ab375f09a.rarhttps://static.assets-stash.eet-china ...
4064
6
34
40
用户178146
2009-9-26 18:39
DDS基本完工了!
经过将近一个月的努力,基于FPGA的正弦信号发生器终于完工了。我做是硬件部分,我同学写软件。硬件包括如下几个部分: 1.高速数模转换DAC 2.低通滤波部分 ...
3371
13
5
11
用户211488
2009-9-26 10:01
VHDL/VGA视频显示单点
--VHDL/VGA视频彩条显示 --rainsy --2009,9,18 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ...
1266
0
0
0
bitao1983_395643617
2009-9-25 15:23
联想FPGA实习项目后11点感受
联想FPGA实习项目后11点感受 来源:unus 作者:chactor 在联想做了半年的 FPGA 实习了,也实实在在的做了一个项目。下周就要离职,所做的项目 ...
2943
9
5
14
用户1005085
2009-9-25 10:34
如何产生VGA的Color Pattern Generator? (SOC) (Verilog)
Abstract 本文使用Verilog在VGA產生Color Pattern Generator。 Introduction 使用環境:Quartus II 8.0 + DE2(Cyclone II EP2C35F627C6) or DE2-7 ...
6535
5
1
6
用户103198
2009-9-24 16:38
WISHBONE总线解析
WISHBONE为我们提供了灵活的连接结构,使我们能非常容易的定制自己的所需用途。 它通过提供标准的数据交换协议,使 用户非常方便进行TE ...
3014
4
0
4
用户1005085
2009-9-24 16:20
在ALTERA-DE2上实现VGA的颜色输出变化(verilog)
在我的 DE2 平台上验证过,可行! Abstract 在友晶科技的 DE2_CCD 範例中,將 CMOS 的影像直接在 VGA 輸出,是否能控制 VGA 的座標,並顯示不同的 ...
7713
7
0
7
用户228613
2009-9-24 13:48
核心板测试程序(verilog)
简单的测试程序,大家做个参考。适用与XC3S500E+USB2.0 核心板。 XC3S500E 核心板,只有按键的管教定义稍有不同,改一下就行。 // top.v ...
3387
7
5
12
用户228613
2009-9-24 10:08
XILINX ROM 使用中文教程
XILINX ROM 使用教程 FPGA内部有BLOCK RAM和分布式RAM,这些资源都可以作为ROM(ROM只是有初始值的RAM,而且该初始值不能改变)。有些低端的FPGA ...
5183
5
1
6
用户1005085
2009-9-23 19:10
在ALTERA-DE2平台上实时产生灰阶图像
参考了一片文章,我在DE2平台上实际测试可行。和大家一起分享! Abstract 灰階影像是很多電腦視覺演算法的基礎,必須會先會產生灰階影像後,才能繼續動其 ...
4080
5
1
6
用户228613
2009-9-23 15:17
FPGA/CPLD数字电路设计经验分享
https://static.assets-stash.eet-china.com/album/old-resources/2009/9/23/fe959719-17e0-40f5-bcd3-10dc9567677f.rar https://static.assets-stash.eet ...
2425
5
1
6
用户1410360
2009-9-23 14:34
转---Modelsim时序仿真中遇到的三个问题
我很少做时序仿真(记忆中针对8051的IP core做过QuartusII下面的时序仿真,针对Samsung的一款Nand Flash仿真模型做过Modelsim下的时序仿真)。一是因为仿真速度 ...
3551
8
0
8
用户1410360
2009-9-23 14:32
转--从SignalTap II中获取“最真实”的仿真测试向量
SignalTap II中获取“最真实”的仿真测试向量 在实际工作中,经常会遇到这样的情况:在硬件调试中采用SignalTap II反复多次编译并最终捕获到问题的 ...
2705
7
0
7
用户228613
2009-9-23 13:43
Xilinx Spartan3E SPI FLASH配置教程
Xilinx Spartan3E系列器件可以使用SPI接口FLASH进行配置,无需使用Xilinx PROM配置,这对于降低成本是很明显的。 虽然ISE9.1已经支持Spartan3E,但是对 ...
7366
6
2
8
用户228613
2009-9-23 13:12
modelsim仿真教程(中文版)--包括前仿后仿
这个教程主要介绍使用xlinx ISE工具调用modelsim做前仿和后仿的方法。 属于基础教程,并且对于后仿的理解还不是太深。 写这个教程时,笔者也只是初通 ...
7238
8
2
10
查看更多
1 ...
417
418
419
420
421
422
423
424
... 500
/ 500 页
下一页
热门博文
1
云米“去小米化”引发并发症?
2898
2
四句话法写论文
1505
3
从百度和当当看管理的家族化
1005
4
【ST开发板评测】使用Python来开发STM32F411
1001
5
新的模式?--参与活动的读后感
998
6
Jlink使用技巧之烧写SPI Flash存储芯片
994
7
电子烟的十面埋伏
991
8
系统不断重启是什么原因,电解电容更换固态电容后导致? ...
985
9
国产光刻机与ASML的差距有多大、到达什么水准? ...
981
10
Jlink使用技巧之合并烧写文件
976
最新评论
更多
写的好,学习和参考
开发工匠 ...
评论博文
2025-4-23
协议标准第014篇 漏电起痕指数 CTI ...
写的好,学习和参考,很实用,大师之作
开发工匠 ...
评论博文
2025-4-22
使用GD32-I2C读写铁电存储器85RC16 ...
写的好,分析很细,大师之作
开发工匠 ...
评论博文
2025-4-21
【拆解】+滴哩收音机耳机拆解
最新
博文
有效样本分析决策系统平台全面解析 ...
陆地装备体系论证与评估综合平台系统 ...
高海拔区域勤务与装备保障调度系统平 ...
资料下载
本周热帖
电子元件FCO-2C-WT系列超宽温SMD振荡 ...
纳祥科技8位移位寄存器74HC164D中文规 ...
纳祥科技单片机拓展芯片NX6806中文规 ...
纳祥科技音量调节芯片NX6805中文规格 ...
纳祥科技NX9019中文规格书,HIFI专业 ...
【敏矽微ME32G030系列】+开发环境搭建 ...
【敏矽微ME32G030系列】介绍、环境搭 ...
电力电容器:原理、结构与规格型号详 ...
一文带你了解金元素及检测方法 ...
相机上的HDMI接口到底怎么选?一分钟 ...
最新资讯
芯语最新
英特尔裁员20%
TI多元化产品矩阵:汽车、机器人、能 ...
高管可入刑、千万欧元罚单、供应商连 ...
对谈Allegro:在磁传感器领域如何坐稳 ...
美国关税“回旋镖”击中特斯拉人形机 ...
Vishay 推出的27款600 V标准整流器和6 ...
特斯拉Optimus人形机器人试生产线亮相 ...
博世发布全新驾驶辅助品牌,涵盖三大 ...
华勤技术2024年及2025年第一季度营收 ...
传音控股2024年年报:手机出货2.01亿 ...
EE直播间
更多
中小数字IC云仿真加速方案:弹性资源与验证效率提升
直播时间: 05月22日 10:00
在线研讨会
更多
利用氮化镓技术打造高效电机驱动——人形机器人、无人机与电动汽车应用
ADMT4000重新定义多圈编码器设计
NSSine™系列实时控制MCU在数字电源和电机控制领域的应用
ST 在大功率热管理系统中的电机控制系统方案(AI 数据中心/暖通空调/电池储能系统/变频制冷)
热门
推荐
下载:
硅基/SiC/GaN 全技术图谱
直播:降噪与声品质提升关键技术解析和仿真流程
STM32开发板、小米手环、华为耳机,等你来赢!
关闭
站长推荐
/1
正在直播:Simcenter 3D降噪与声品质提升研讨会
研讨会聚焦西门子仿真建模分析流程和关键技术解析,1. Simcenter 3D 声学仿真建模分析流程及关键技术介绍; 2. 数据中心冷却风扇湍流噪声分析及优化; 3. 笔记本电脑气动/振动噪声分析及音频系统声品质优化。立即观看>>
首页
论坛
电子技术基础
模拟技术
可编程器件
嵌入式系统与MCU
工程师职场
最新帖子
问答
版主申请
每月抽奖
商城免费换礼
社区有奖活动
博客
下载
评测
视频
文库
芯语
资源
2025泰克杭州测试论坛
2025中国低空经济产业创新发展大会
【直播】芯片设计工艺仿真全解析
西门子数字化工业软件资源中心
嵌入式设计资源库
智能楼宇/家电控制应用全解析
在线研讨会
EE直播间
小测验
白皮书
行业及技术活动
杂志免费订阅
免费在线工具
厂商资源中心
帖子
博文
返回顶部
×