FPGA/CPLD
首页 FPGA/CPLD
esad0 2009-10-5 18:47
cpld pwm
// 等脉宽pwm波。用pwm_dat控制占空比 module IntermediateFrequencyWeld(    clk,rst_n,    PWM_dat,         IGBT_1,IGBT_2   ); input ...
用户232098 2009-10-4 11:50
quartus Ip 仿真
bitao1983_395643617 2009-10-4 08:55
「穷人ASIC」出世 PCB掰掰?
      我们也许很快就能跟印刷电路板(PCB)道别,并能把裸晶(bare die)装配在一种晶圆级硅电路板上,并因此摆脱那些耗电量很大的封装焊接导线。 一家新创 ...
用户236495 2009-9-30 10:24
Modelsim快捷键
Modelsim的快捷键在不同的窗口下有所不同: 1. 波形窗口(Wave)     鼠标操作:         Ctrl+鼠标左键从左上向右下拖拉:放大(选 ...
用户235811 2009-9-30 08:10
胆结石饮食预防的十种方法
胆结石饮食预防的十种方法 来源: http://www.dqqct.com    链接: 胆结石 , 胆囊炎 , 胆管炎 , 胆管结石 1.多喝水,少憋尿。 2.少喝啤酒。 3. ...
用户1122702 2009-9-29 11:57
FPGA Editor的使用
我使用FPGA Editor的时间并不是很久,说说自己的一点心得: 1、主要用于查看IOB和主要内部资源如BUFG、DCM等资源的使用情况; 2、结合它进行UCF位置约束; 3、 ...
用户228613 2009-9-28 11:23
xilinx fpga 设计指导10.1(中文版)(转载)
https://static.assets-stash.eet-china.com/album/old-resources/2009/9/28/ea2c6f5d-94c2-40c4-91da-ae7ab375f09a.rarhttps://static.assets-stash.eet-china ...
用户178146 2009-9-26 18:39
DDS基本完工了!
经过将近一个月的努力,基于FPGA的正弦信号发生器终于完工了。我做是硬件部分,我同学写软件。硬件包括如下几个部分: 1.高速数模转换DAC 2.低通滤波部分 ...
用户211488 2009-9-26 10:01
VHDL/VGA视频显示单点
--VHDL/VGA视频彩条显示 --rainsy --2009,9,18 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ...
bitao1983_395643617 2009-9-25 15:23
联想FPGA实习项目后11点感受
联想FPGA实习项目后11点感受 来源:unus 作者:chactor 在联想做了半年的 FPGA 实习了,也实实在在的做了一个项目。下周就要离职,所做的项目 ...
用户1005085 2009-9-25 10:34
如何产生VGA的Color Pattern Generator? (SOC) (Verilog)
Abstract 本文使用Verilog在VGA產生Color Pattern Generator。 Introduction 使用環境:Quartus II 8.0 + DE2(Cyclone II EP2C35F627C6) or DE2-7 ...
用户103198 2009-9-24 16:38
WISHBONE总线解析
       WISHBONE为我们提供了灵活的连接结构,使我们能非常容易的定制自己的所需用途。 它通过提供标准的数据交换协议,使 用户非常方便进行TE ...
用户1005085 2009-9-24 16:20
在ALTERA-DE2上实现VGA的颜色输出变化(verilog)
在我的 DE2 平台上验证过,可行! Abstract 在友晶科技的 DE2_CCD 範例中,將 CMOS 的影像直接在 VGA 輸出,是否能控制 VGA 的座標,並顯示不同的 ...
用户228613 2009-9-24 13:48
核心板测试程序(verilog)
简单的测试程序,大家做个参考。适用与XC3S500E+USB2.0 核心板。 XC3S500E 核心板,只有按键的管教定义稍有不同,改一下就行。 //  top.v  ...
用户228613 2009-9-24 10:08
XILINX ROM 使用中文教程
XILINX ROM 使用教程        FPGA内部有BLOCK RAM和分布式RAM,这些资源都可以作为ROM(ROM只是有初始值的RAM,而且该初始值不能改变)。有些低端的FPGA ...
EE直播间
更多
关闭 站长推荐上一条 /3 下一条