FPGA/CPLD
首页 FPGA/CPLD
用户406798 2010-1-23 00:19
[Verilog HDL入门]第二章 入门指南
主要的知识点:         1.Verilog HDL语言的基本描述单位是模块。         2.对设计进行描述的四种风格:            a、数据 ...
用户244280 2010-1-22 00:11
乒乓操作1(用寄存器做缓存)
这几天在研究FPGA的乒乓操作,乒乓操作的理论很多参考书、网上的资料都有讲解,这里我就不啰嗦了,我想分四个部分来研究乒乓操作: 一、用寄存器做缓存, ...
用户406798 2010-1-21 23:54
[Verilog HDL入门]第一章 简介
主要的知识点:         1.   Verilog HDL是一种用于数字系统建模的硬件描述语言,模型的抽象层次               可以从算法级一直 ...
用户406798 2010-1-21 23:24
开博杂谈
      今天开始学习CPLD/FPGA,最近公司需要用到这方面的内容;从今天开始学习CPLD/FPGA。把学习进展、以及学习中存在的问题记录下来;作为自己成长的一个 ...
用户180508 2010-1-21 20:38
FPGA学习好书推荐
看过很多FPGA相关的书,其中内容重复的不少,要看就看经典的。这些是从众多书中挑出来自己认为不错的书,望初学者能节省时间,重点阅读: 《 ve ...
用户251222 2010-1-21 13:44
MicroBlaze控制LED实验三(编写简单的LED控制IP核)
软件环境为Xilinx Platform studio 11.3,开发板为红色飓风2代Xilinx版 1.在XPS中创建一个新的Project,加入一个GPIO核,GPIO的Data Width改为4,直到finish ...
用户1464506 2010-1-20 23:19
看到对差分信号介绍比较好的文章(收下了)
差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在 PCB 设计中又如 ...
用户239289 2010-1-19 13:37
悬疑:计数器复位引起一拍延时
下面是一个简单的32分频计数器模块的VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all ...
用户232382 2010-1-19 11:11
孕妇食谱大全
  鸡蛋   很多准妈妈一看见肉就觉得恶心,那么鸡蛋就成了你在孕期摄取蛋白质的最佳来源。而且鸡蛋中还含有人体所需的各种氨基酸。煎个鸡蛋再配点儿蔬菜会 ...
特权ilove314 2010-1-18 22:05
SOPC的CPU与CFI控制器互联
SOPC 的 CPU 与 CFI 控制器互联 Altera SOPC Builder 提供了众多的 FLASH 控制器 IP 核,除了一些通用型号外,还有一个可配置的通 ...
用户138048 2010-1-18 15:49
Quartus2相关文档
以下是压缩包内的几个文档 The advantages of LPM.pdf LPM quick reference guide.pdf Description of LPM modules.pdf Instantiating LPM in EDIF. ...
用户138048 2010-1-17 23:39
Verilog Coding Style Proposal_final.pdf
用户138048 2010-1-17 23:37
Accelerating Design Cycles Using the Quartus II So
用户138048 2010-1-17 23:35
使用ModelSim进行设计仿真.pdf
用户138048 2010-1-17 23:33
timing analysis
EE直播间
更多
关闭 站长推荐上一条 /3 下一条