FPGA/CPLD
首页 FPGA/CPLD
用户143688 2011-2-14 15:28
用FPGA Editor提高设计效率(2)
当用户在GUI环境中编辑设计时,FPGA Editor能够记录用户动作。用户不仅可以保存记录动作流程,还可在以后重新使用记录的脚本并加以重复。当无法更改RTL,但又需 ...
用户143688 2011-2-14 15:26
用FPGA Editor提高设计效率(1)
利用FPGA Editor,你可以察看完成的设计并确定是否在FPGA构造一级真正实现了设计意图 – 而这对于任何工程师或现场应用工程师来说都是非常需要的。假设你拿到协 ...
wxg1988 2011-2-14 09:54
AD采集与控制系统总结
           模拟量经AD采集后转换为数字量显示,并通过数码管等显示,控制部分通过判断数字量代表的十进制大小分别做出相应处理,控制其他动作。 FPGA ...
wxg1988 2011-2-13 22:48
FPGA-1602
1602基本液晶显示屏,学会对1602操作,编程能力会更进一步的,下面我将自己的代码放在下面,仅供参考。 library ieee;  use ieee.std_logic_1164.all; use ie ...
用户216291 2011-2-13 10:33
FPGA_verilog入门学习
本人FPGA学习的第一个程序:数字电路三八译码器(具有使能端EN)。 源代码:/*-------------------------------------------------------------------------- ...
用户1459363 2011-2-12 11:23
LED学习之跑马灯/流水灯
module LedWater(clk,rst_n,ledout); input clk,rst_n; output ledout; reg ledout; reg count; always @ (posedge clk or negedge rst_n) begin ...
wxg1988 2011-2-12 00:57
sram程序
Library IEEE; Use IEEE.Std_logic_1164.all; USe IEEE.Std_logic_unsigned.all; ENTITY sram IS GENERIC ( k: integer:=8; --8位数据宽度 w: integer:=4 ...
用户1435818 2011-2-11 13:26
USB-Blaster DIY成功!
USB-BlasterDIY成功! 版本:缓冲SN74LVC244、CPLD器件EPM3064、USB芯片FT245RL 器件选择考虑: 1.LVC244比HC244具有更大的优势,是可过压器件。在TI的文 ...
特权ilove314 2011-2-11 10:47
基于FPGA的一些显示解决方案展示
        FPGA能做什么?如下的这些视频将向您展示FPGA在视频采集、处理以及图像显示方面的应用。当然了,如果您对我们的产品感兴趣,那么可以访问我们的 ...
fuxiao123_401762695 2011-2-10 22:10
推荐一本好书
春节假期在家比较清闲,于是有时间阅读了一本好书《Verilog HDL高级数字设计》。虽然是英文版的,看起来比较慢,但是它依据数字集成电路系统工程开发的要求与特 ...
用户157656 2011-2-10 20:45
如何学习FPGA
其实我下面所要说的用两个字就可以概括:参考。 1。首先,xilinx所发布的文档是你首要参考的。xilinx针对每个系列的FPGA都提供了丰富而全面的文档,所以在你开始 ...
特权ilove314 2011-2-9 21:56
两片FPGA之间的数据传输
两片FPGA之间的数据传输          在工程实践中,常常需要涉及多个主芯片间的数据传输。尤其在多个FPGA级联的系统中,不同吞吐量的数据传输可以采取 ...
fuxiao123_401762695 2011-2-8 16:45
针对C语言编程者的Verilog开发指南实例
  作者:Lara Simsic ,应用工程师 ,Altera公司 关键字: C语言   硬件描述语言   嵌入式系统   硬件   软件   本文举例说明了如何用 ...
wxg1988 2011-2-6 13:07
FPGA_4电子时钟
把数码管玩了一下,想做个电子时钟,正在调试阶段以调试出来了,现已经测试完毕,相关代码如下 library ieee; use ieee.std_logic_1164.all; use ieee.std_lo ...
songmin2_301502101 2011-2-1 17:15
Specify tsu path to be reported by QuartusII
Q: I want to observe one tsu path, with specifed clock, input pin and destination register, but there are so much "tsu" reported by Quartus II timi ...
关闭 站长推荐上一条 /3 下一条