FPGA/CPLD
首页 FPGA/CPLD
ash_riple_768180695 2010-5-13 09:01
海外捉虫记—如何解决license冲突问题
我们一个组的人,只有一个浮动license,这是节省成本的好方法。但是经常会出现因为license冲突导致的编译失败问题。 由于编译时间较长,往往启动编译程序后人 ...
特权ilove314 2010-5-12 20:21
诠释封面
        辛苦咱小妹娜娜在各小组上传封面了,这里只做一点说明。           封面的葡萄是点名要的,因为在圣经中约翰福音十五章1-8节 ...
用户254708 2010-5-11 20:05
FPGA设计流程指南
FPGA的设计流程,大家参考参考
用户251222 2010-5-11 16:38
Tri-state (InOut and Output) Signals(EDK中的inout信号)
EDK中有时候要用到inout信号(比如和SRAM连接的DATA线),声明方法如下所述 Tri-state (InOut and Output) Signals A system on a programmable ...
用户1528479 2010-5-11 14:11
我的一些数字电子知识总结(3)
我的一些数字电子知识总结( 3 ) ?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" / 继续 通过博文把我在学习数字 ...
ash_riple_768180695 2010-5-11 11:37
维护遗留代码(4)——时序问题初露端倪
        在 关于代码移交的那篇文章 中,我在最后提到了“正确完整的时序约束对编译结果的稳定性影响巨大”。那么这一结论是如何得出的呢?在得出这一结 ...
ash_riple_768180695 2010-5-11 11:22
维护遗留代码(9)——尊重原代码,尊重原作者
        第三方提供的代码,经过了两个多月的时序调整,最终达到了时序收敛的目标,小范围功能修改前后两次编译之间的功能不稳定现象消失了。我这一系列 ...
用户1359586 2010-5-10 22:27
SRAM的时序约束
http://blog.ednchina.com/ilove314/198969/message.aspx#85821   读SRAM时序约束分析 分析了SRAM的IO计算,但是没有讲如何具体的计算和TimeQuest ...
特权ilove314 2010-5-10 21:10
PLL专用输出管脚带来的反思
PLL 专用输出管脚带来的反思          这个视频采集的项目 FPGA 原型设计上还真是难不倒我,只不过硬件管脚分配上的粗心大意让我吃 ...
用户1380946 2010-5-10 10:24
A tip for writing test bench of FSM
FSM: Finite State Machine 在写FSM的test bench时,会想要检查FSM的state,我知道的方法有下面几个: 1. 把state定义在UUT module的output port里。这样 ...
用户1417705 2010-5-8 20:16
FPGA驱动74HC595程序,见笑了,处女作。
library ieee; use ieee.std_logic_1164.all; entity hc595 is  port(clk: in std_logic;    shift_clk: out std_logic;   //移位时钟     stora ...
用户177038 2010-5-8 15:14
关于Nios烧写“No EPCS layout data”
? 最近在EPCS下载时遇到一个这样一个问题: ? "No EPCS layout data - looking for section " ? 在网上查了查资料,发现是没有配置芯片的说明。 ? ...
特权ilove314 2010-5-8 10:50
AV视频信号采集显示
AV 视频信号采集显示          这几天在写一个 AV 芯片控制、后端采集数字信号并做缓存显示的 FPGA 代码。硬件早就就绪了,一步 ...
用户1323865 2010-5-8 00:29
WIN7下,NIOSII IDE中关于cygwin编译报错的处理
I am sharing my personal experience here. I have been using Quartus II 9.1 and NIOS II IDE 9.1 on Windows 7 since they were released. Now, I am using ...
fuxiao123_401762695 2010-5-7 21:48
用上了ISE12哈哈
这个版本安装时出了点小差错,后来才明白要先装一个补丁以支持英语和日语以外的系统路径
EE直播间
更多
关闭 站长推荐上一条 /3 下一条