• 倒装芯片连接的焊接方法指南

    2.1 Introduction 2.1引言 在本章中,将倒装芯片定义为[1-4],该芯片连接到基板的焊盘或具有各种互连材料(例如,Sn-Pb,Cu,Au,Ag,Ni,In和各向同性的另一个芯片)的芯片或各向异性导电粘合剂)和方法(例如,回流焊和热压键合(TCB)),只要芯片表面(有效区域或I / O侧)面向基板或另一个芯片,如图2.1所示。 flip芯片技术是IBM在1960年代初引入其固态逻辑技术的,该技术成为IBM System / 360计算机产品线的逻辑基础[5]。图2.2a显示了带有三个终端晶体管的第一个IBM Fip芯片,它们是嵌入在晶体管的三个I / O焊盘上的Sn-Pb焊料凸块中的Ni/ Au镀Cu球。Cr-Cu-Au附着/种子层沉积在Si芯片上的Al-Si接触垫和焊料凸点之间。图2.2b显示了在陶瓷基板上的第一个IBM倒装芯片组件(三个芯片)。 随着I / O的增加,铜球被焊料凸块代替。所谓的C4(受控塌陷芯片连接)技术[6]利用沉积在芯片上可湿性金属端子上的高铅焊料凸点和基板上可湿性焊料端子的匹配占地面积。焊有凸点的倒装芯片与基板对齐,并且通过回流焊锡同时制造所有焊点。 今天,倒装芯片技术的应用已扩展到[7-12]芯片对芯片,面对面和面对面。图2.3显示了Amkor的DoublePOSSUM软件包[12]。可以看出,封装实际上是由两个层次的嵌套模具定义的。这三个子模具是倒装芯片,固定在较大的母模上,然后再固定在最大的祖母模上。然后将祖母芯片倒装芯片到封装基板上。子管芯和子管芯之间的凸点是微型凸块(带焊料盖的铜柱)。在母模和祖母模之间以及祖母模和封装基板之间使用C4凸块。 倒装芯片技术已广泛用于大型机,服务器,个人计算机,笔记本电脑,智能手机,平板电脑,游戏等的处理器,网络,电信等的专用集成电路(ASIC)和存储器 大部分的倒装芯片组件都大量销售。近年来,由于对更高功能芯片的需求以及缩小芯片面积的要求,处理器,ASIC和存储器的引脚输出数量增加,而其间距(或引脚焊盘之间的间距)却减小了。同样,由于用于移动产品(例如,智能手机和平板电脑)和便携式产品(例如,笔记本电脑)的外形尺寸较小的趋势,芯片和封装基板的厚度必须尽可能地薄。更高的引脚数,更紧密的间距,更薄的芯片以及更薄的封装基板,导致对倒装芯片组件采用TCB方法的必要性。在这项研究中,除了大批量生产外,还提到了各种TCB技术。高密度和低成本封装基板的最新进展促进了更多的倒装芯片应用。在这项研究中,将讨论有机堆积基板,具有薄膜层的有机堆积基板,无芯基板,引线凸块(BOL)和嵌入式痕量基板(ETS)。为了提高芯片芯片组件的焊接可靠性,必须进行填充,特别是对于有机封装基板。在本研究中,将讨论预组装填充物,例如无流动填充物(NUF),非导电胶(NCP)和非导电膜(NCF)。同样,组装后的填充capillary underfill (CUF) and molded underfill (MUF). 倒装芯片技术正面临来自扇出晶圆级封装(FOWLP)[13,14]的激烈竞争,这将在第4、5、6、7、8、9、10和11章中讨论。扇入式晶圆级封装(WLCSP)[15-17]将在第3章中讨论。 2.2 Wafer Bumping 有许多方法可以执行晶圆凸点([2]中至少显示12个),最常见的方法是通过电化学沉积(ECD)或电镀[18]。模版印刷方法[19–25]也用于晶圆凸点,但此处不再赘述。 2.2.1 C4 Bumps 通常,焊盘尺寸等于100 um,目标凸点高度等于100 um。重新定义钝化开口后(通常不需要),首先在晶片的整个表面上溅射Ti或TiW(0.1-0.2 um),然后溅射0.3-0.8um的Cu。  Ti-Cu和TiW-Cu称为凸块冶金(UBM)。为了获得100 um的凸点高度,然后在Ti–Cu或TiW–Cu上覆盖40 um的抗蚀剂层,并使用焊料凸点掩模来定义(紫外线曝光)凸点图案,如步骤1–所示。图2.4中的4。抗蚀剂中的开口比钝化层中的焊盘开口宽7–10 um。然后在UBM上镀一层5um的Cu,然后电镀焊料。这是通过将静态电流或脉冲电流通过晶片作为阴极的镀浴来完成的。为了电镀足够的焊料以达到目标(100 um),将焊料电镀在抗蚀剂涂层上约15um以形成蘑菇形。然后剥离抗蚀剂,并用过氧化氢或等离子蚀刻去除Ti-Cu或TiW-Cu。然后晶片使用助焊剂过炉,会产生光滑的截断球形C4凸点焊锡。如图2.4右侧的步骤#5–8所示,由于表面张力的作用[2.4]和2.5。 2.2.2 C2 (Cu Pillar with Solder Cap) Bumps 由于引脚数更高且间距更紧密(焊盘之间的间距更小),因此可能会使相邻的焊料C4凸点短路。导线互连[26]和带有焊帽[27、28]的铜柱可以是一种解决方案。如图2.6的步骤5所示,除了电镀铜代替焊料外,制造工艺与C4凸块基本相同。接下来是电镀焊料盖,然后向焊料中倒入助焊剂(图2.7a显示了带有焊料的铜柱。图2.7b显示了非常高的铜柱,没有焊锡帽。由于与C4凸块相比焊料体积非常小,因此表面张力不足以执行Cu柱与焊料盖凸块的自对准,因此有时将其称为C2(芯片连接)凸块。除了能够处理更细的间距外,C2凸块还提供了比C4凸块更好的热和电性能。这是因为铜(400和0.0172)的热导率(W / m K)和电阻率(µΩm)优于表2.1所示的焊料(55-60和0.12-0.14)。 2.3 Flip Chip Package Substrates 在过去的几年中,通过增加堆焊层的数量,在堆焊层的顶部制造薄膜层的方式,为提高/提高传统的低成本堆焊有机封装基板的能力做出了巨大的努力。上层,缩小金属线的宽度和间距的尺寸,减小焊盘的尺寸和间距,消除铁芯,制作BOL,并层压ETS。对于硅衬底,第一个是TSV中介层,而未来的趋势是无TSV中介层,这将在第10章中讨论。陶瓷基板[29–34]将不在本书中讨论。 2.3.1 Surface Laminar Circuit (SLC)Technology 大约25年前,日本Yasu的IBM在日本发明了SLC技术,图2.8[35-38],该技术构成了当今非常流行的低成本有机封装基板的基础,其堆积层通过微孔垂直连接[39-  59]支持ip芯片。SLC技术有两个部分:一个是核心基板,另一个是用于信号布线的SLC。芯基板由普通的玻璃环氧板制成。但是,SLC层依次由光敏环氧树脂制成的介电层和镀铜的导体平面构成(半添加技术)。通常,具有十二层[例如,两个芯层和十个堆积层(5-2-5)]以及10μm的线宽和间隔的封装衬底足以支撑大多数芯片。 2.3.2 Integrated Thin-FilmHigh-Density Organic Package (i-THOP) 2013年,Shinko建议在封装基板的堆积层之上制造薄膜层。图2.9显示了Shinko用于高性能应用的i-THOP基板[60,61]。这是4 +(2-2-3)测试车,这意味着有两层金属芯,底部(PCB)侧有三层堆积金属层,顶部有两层堆积金属层(芯片)侧),第一个数字“4”表示在顶部堆积层的表面上有四个薄膜铜布线(RDL)。薄膜Cu RDL的厚度,线宽和间距可小至2 µm。薄膜Cu RDL通过10µm的孔垂直连接,如图2.9所示。表面铜垫间距为40um,铜垫直径为25 µm,高度为10–12 µm。  i-THOP基板通过了翘曲和可靠性测试,没有观察到通孔分层[60]。2014年,Shinko展示了[61]个超细间距芯片可以成功地组装在i-THOP基板上。图2.10示意性地显示了两层薄膜的横向连通情况,这是通过两层薄膜层的2µm线宽/间距RDL来实现的,这两层薄膜层构建在1-2-2积层有机基板的顶部,即2+(1-2-2)。图2.11显示了测试芯片的40 µm节距的微型凸块(Cu柱+ Ni + SnAg)和40µm节距的倒装芯片键合焊盘(直径25 µm)。具有优化条件的倒装芯片组件横截面的典型图像如图2.11所示。可以看出,在组装的所有区域都确认了良好的焊点[61]。 2.3.3 Coreless Substrate 无芯基板是富士通[62]在2006年首次提出的。图2.12显示了具有堆积层的传统有机封装基板和无芯有机封装基板的比较。可以看出,最大的区别是无芯封装基板中没有芯,无芯封装基板的所有层都是堆积层[62-84]。无芯封装基板的优点是[62-84]:(a)由于消除了芯,无芯基板的成本较低;  (b)通过消除芯,可以实现更高的布线能力;  (c)由于良好的高速传输特性而具有更好的电气性能;  (d)外形尺寸要小得多。另一方面,缺点是[62-84]:(a)由于消除了磁芯,无芯基板的翘曲较大;  (b)容易出现层压板崩裂;  (c)由于基板刚性较低,导致焊点良率差;(d)必须建立新的制造基础设施。 2010年,索尼为其PlayStation3的单元处理器制造了第一个无核封装基板[74]。尽管无芯基板具有许多优点,但由于翘曲控制问题,它们并不受欢迎。影响翘曲的关键因素之一是基板材料的热膨胀不匹配系数。因此,对此因素的适当控制将有助于减少无芯基板的翘曲问题。影响翘曲的另一个因素是封装组件。因此,适当的封装组件翘曲校正控制(在真空和压力下)将有助于改善无芯基板的翘曲问题。 2.3.4 Bump-on-Lead (BOL) BOL由STATSChipPAC[85-89]首次提出,并由高通[90]和其他公司[90-93]使用。图2.13a中显示了传统的捕获式焊盘(BOC)或简单的焊盘上(BOP)倒装芯片有机基板布局。可以看出,倒装芯片焊盘在阻焊层(SR)定义的配置中的面积为210-μm的面阵间距上,在凸点焊盘之间有一个信号逸出,导致有效的逸出间距为105μm。BOL方法如图2.13b所示。在这里,基板上的焊盘只是走线(引线)本身,或者走线的略宽版本,这会释放足够的布线空间,以允许在凸块之间布线额外的走线,从而导致有效的逃逸间距为 7 µm,无需更改基板的设计规则(迹线宽度和间距)。改进的BOL结构如图2.13c所示。可以被看见凸点焊盘没有任何阻焊层,即开孔SR [90]。参考文献中使用的测试车,BOL上的Cu柱。  [90]在图2.13d和e中示出。可以看出,凸点间距在180 µm到凸点间距20 µm之间的两条走线可以轻松布线。图2.14的上部显示了垂直于BOL和纵向BOL的典型横截面。图2.14中部显示了一个3D幻灯片有限元模型,其中显示了BOL,BOC(或BOP)和焊点。BOL焊点的蠕变应变轮廓显示在图2.14的下部[93],它太小而在大多数情况下都不会引起焊点可靠性问题。 2.3.5 Embedded Trace Substrate (ETS) ETS是具有细线宽/间距的无芯基板之一,将顶部金属走线图案嵌入到半固化片层中[94-98]。ETS的处理流程如图2.15a所示。它从带有可移动铜箔的载板开始。其次是使用典型的电解铜电镀方法形成第一层铜图案。然后,将预浸料层压在铜图案上。随后进行激光通孔钻孔,化学镀铜,干膜层压,曝光和显影,第二层铜图案电镀,剥离和微蚀刻。一旦所有的铜图案层均已完成,将移除载板。由于铜箔连接到第一个铜图案,因此在SR涂层之前必须进行微蚀刻。  SR开封过程之后,可通过金属表面处理(例如有机可焊性防腐剂(OSPs))完成。图2.15b显示了SPIL[97]在ETS组件上的Cu柱倒装芯片的横截面。目前使用的ETS的大多数线宽/间距为15/15 µm。但是,Simmtech正在生产13/13 µm的线宽/间距[98]。 2.4 Flip Chip Assembly 基本上,有两组倒装芯片组件:一组在焊盘/走线之间有一个中间层,另一组则没有,即一无所有。带有中间层的倒装芯片组件,例如用于大批量生产的焊料和由TCB制成的带有焊料盖的Cu柱,被称为间接键合,这是本章的重点。因此,在芯片/晶圆上的键合焊盘/迹线之间没有任何东西的Cu-Cu扩散键合称为直接键合。 2.4.1 Cu-to-Cu TCB Direct Bonding 铜到铜的扩散结合可以减小到超细间距和焊盘尺寸(焊盘之间的间距为5 µm或更小)。为了减少形成会严重影响键合质量和可靠性的天然氧化物的趋势,Cu-to-Cu是一种TCB,通常在高温高压下和较长的处理时间下运行[99-101],这不利于 吞吐量和设备可靠性。另一方面,在室温下[102-108]的铜对铜键合可实现最高的吞吐量和最少的器件可靠性问题,并且成本非常低。然而,室温粘合的缺点是对(a)焊盘/走线/晶圆平面化,(b)表面处理以确保光滑的亲水性表面以实现高质量粘合的严格要求,以及(c)洁净室等级(非常高)。需要)。Cu-to-Cu TCB主要用于晶圆对晶圆(W2W)组装工艺,尚不在大规模生产中,因此,在本章结尾进行了讨论。 2.4.2 C4 Solder Mass Reflow 焊料回流已用于倒装芯片组装近50年了。大多数的焊料C4凸块都大量回流在硅,陶瓷或有机基板上。组装过程非常简单,图2.16a:(i)使用lookup和lookupcamera来识别芯片上凸块和基板上焊盘的位置; (ii)在C4凸块或衬底上,或在两者上都使用助焊剂; (iii)拾取C4凸块并将其放置在基板上,然后随温度H回流。由于回流期间C4焊料凸块的表面张力,该过程非常坚固(自对准)。图2.17显示了iPhone6 Plus(2015年9月)的横截面。可以看出,A9应用处理器以PoP格式安装,并且将焊有凸点的倒装芯片大量倒装在2-2-2有机封装基板上。通常,C4凸块芯片的焊料质量流中的凸块之间的间距可以小到50 um。 2.4.3 C2 Solder Mass Reflow 过去的几年中,已尝试在硅,陶瓷或有机封装基板上回流C2(带有焊帽的铜柱)焊接芯片的焊料质量,以用于高引脚数和细间距的倒装芯片组件。图2.16a的组装过程与C4凸块的组装过程完全相同,但是自对准特性相差无几,因此很少使用。通常,C2凸焊芯片的焊料质量流中的支柱之间的间距可以小至25 um。 2.4.4 C2 TCB 在过去的几年中,在硅,陶瓷或有机封装基板上具有中间层(例如C2(带有焊帽的铜柱)的凸点)的TCB芯片已引起人们对高密度和超细间距倒装芯片组件的关注。基本上,有两种方法,一种是低粘结力,另一种是高粘结力。 2.4.4.1 C2 TCB with Low Bonding Force 对于一个低键合力的芯片,组装过程很简单,如图2.16b所示:(i)首先,使用查找和查找摄像头定位芯片上C2凸块及其在基板上相应焊盘的位置; (ii)将助焊剂涂在焊锡盖上或基板上或两者上; (iii)将芯片拾取并放置在基板上,然后施加温度(H)以熔化焊料,并施加较小的力(f)以将芯片保持在距基板一定距离的位置。上面的过程一次只完成一个芯片,因此与C2焊料大量回流工艺相比,生产率较低。图2.18显示了具有TCB的倒装芯片组件的典型横截面,其在C2凸块上的作用力较小[109]。通常,TCB通过低键合力在C2芯片上的支柱之间的间距可以小至8 um。 2.4.4.2 C2 TCB with High Bonding Force 对于在C2芯片上具有高键合力的TCB,组装过程必须与NCP或NCF底漆结合使用,这将在 后面讨论 2.5 Underfill/Reliability 填充[110-124]的应用可以提高倒装芯片焊点的可靠性,尤其是在有机基材上。大多数底料由低膨胀度的填料(例如熔融石英(SiO2))和液体预聚物(例如热固性树脂(粘合剂))组成,它们可以固化为固体复合材料。 1987年,Hitachi表明,随着填充时间的延长,陶瓷基板上的倒装芯片焊点的热疲劳寿命增加了[125]。1992年,Yasu的IBM提出将低成本有机基板代替高成本陶瓷基板用于倒装芯片组装[35-38]。他们表明,填充不足后,硅芯片(2.5 10-6 /°C)和有机基板(15-18 10-6 /°C)之间的大热膨胀失配得到了大大降低,并且焊点可靠 适用于大多数应用。这为当今非常流行的低成本有机基板封装上的焊料凸点ip芯片打开了大门,这些封装用于例如个人计算机,笔记本电脑,智能手机,平板电脑等的处理器中。基本上,有两种不同的应用程序 下填充,即预组装下填充和后组装下填充。 2.6 Post-assembly Underfill 对于组装后填充,填充是在倒装芯片组装之后进行的,即倒装芯片已经在基板上并且焊点已经大量回流(使用C2或C4凸点)或使用C2的低力TCB 颠簸。 2.6.1 Capillary Underfill (CUF) 对于组装后填充,基本上有两种方法,即CUF [126-129]和MUF [130-134]。  CUF是进入批量生产的第一种方法[126–129]。对于CUF,通过无针辅助的针头或喷射器在基板组件上的倒装芯片的一侧(或两侧)上分配底部填充物。由于毛细作用,这会完全填满芯片,焊点和基板之间的空间。然后通过固化底漆将芯片和基板牢固粘合。  CUF一次执行一个芯片组装,因此吞吐量成为一个问题。 2.6.2 Molded Underfill (MUF) 模压填充是由CooksonElectronics [130]在2000年提出的,后来由例如Dexter [131],Intel [128],Amkor [132],STATS ChipPAC [133]和LETI /STMicroelectronics [134]提出。对于MUF,将经过修改的EMC转移模制到芯片上,并填充芯片,焊点和倒装芯片组件的基板之间的间隙。芯片的密封剂和底部填充剂是同时形成的,这将提高吞吐量。但是,MUF的挑战在于:(a)芯片和基板之间的MUF流动通常在真空辅助下;  b)EMC的二氧化硅填料的尺寸必须很小,以确保流动性; (c)MUF的EMC成本远高于封装成型的成本;  (d)由于EMC,芯片和基板之间的热膨胀不匹配,封装翘曲成为一个问题;  (e)成型温度受焊点熔点的限制;  (f)焊点的支座高度和间距不能太小。 2.6.3 Printed Underfill 为了增加CUF的通吐量并避免MUF的弊端,朗讯技术公司[135]提出了一种后装填底模的方法,该方法使用模版在封装基板组件上印刷倒装芯片的底模材料,例如 如图2.19所示。可以看出,(1)模板设计具有一个开口,该开口至少是芯片的尺寸[135]; (2)模板厚度不超过倒装芯片组件[135]的高度(图2.19);3)基板必须有一个孔[135],以使填充物能够流出。  [135]的缺点是:(1)由于模板的开口很大以及从基板孔流出的填充物浪费了很多填充物;  (2)由于模板的开口很大(露出芯片的整个背面),并且模板的厚度不高于芯片的背面(因此所有刮板压力),因此很有可能损坏芯片。在打印过程中应用于芯片的背面)  (3)基片上的孔是不切实际的,因为它不仅影响布线,而且增加了基片的成本和尺寸。在目前的研究中[136],将设计一种新的模板,以在有机面板和硅晶圆组件上印刷倒装芯片的底版。将检查粘度,热增强和底纹的多次印刷的影响。固化后的组件将通过C-SAM,X射线,剪切试验,横截面和SEM方法进行表征。 2.6.3.1 A New Stencil Design 2.6.3.2 Test Chip 为了证明新模板设计用于后期组装底版的可行性,我们制造了测试车。有机面板和硅晶圆组件的测试芯片相同,如图2.23所示。可以看出,芯片尺寸为5 mm 5 mm 150 µm,并且有31 31(961)个铜柱+ SnAg焊料帽凸点,间距为160 µm。Cu柱的直径为40 µm,高度为25 µm,而SnAg焊帽为17 µm,如图2.23所示。 2.6.3.3 Test Substrates 在本研究中,fipchi组件的测试基板分别是有机硅片Siwafer,并分别在图5和6中显示。2.24和2.25。可以看出,对于有机面板基板(图2.24),尺寸为240毫米63毫米0.32毫米。共有36个单元,每个单元的尺寸为15.4毫米15.4毫米0.32毫米。每个芯片上都有焊盘和走线。  OSP的直径Cupadis80 µm and  isona320µmpitch。走线(引线)宽度为25 µm,并且会凸出引线(BOL)。图2.25显示了200 mm的硅晶圆衬底。切屑部位的尺寸为5 mm 5 mm 760 µm。有961个Cu焊盘,每个芯片位置的间距为160 µm。焊盘直径为60 µm。由于晶圆上芯片位置之间的街道(切缝)宽度太窄(<160 µm),不足以放置底料,因此将使用其他所有芯片位置。 2.6.3.4 Flip Chip Assemblies 将倒装芯片(图2.23)浸入助焊剂中,放置在有机面板或硅晶圆的基板上,然后大量回流。通过在60°C喷射水来清洗助焊剂残留物。有机面板基板上的倒装芯片组件的X射线图像如图2.26a所示。可以看到,有两种焊点:一种是BOP(焊盘上的凸点),另一种是BOL(引线上的凸点)。BOP的节距为320 µm,BOL的节距为160 µm。Si晶片基板上的倒装芯片组件的X射线图像如图2.26b所示。可以看出,芯片上直径为40μm的Cu柱焊接在基板上直径为60μm的Cu焊盘上。它们的间距为160µm。 2.6.3.5 Stencil Designs 用于测试车辆的模板设计如图2.21所示,用于有机面板基板上的倒装芯片,图2.22所示用于硅晶片基板上的倒装芯片。对于这两种情况,模板厚度为100 µm,开口为5.5 mm〜0.8 mm。模板下方的干膜厚度为250 µm。对于有机面板基材,模板下方的干膜开口为11 mm 12 mm(图2.21)。对于硅晶圆衬底,模版下方的干膜开口为8毫米32.4毫米,如图2.22所示。干膜(光刻胶)通过加热辊(* 125°C)层压在模板上。干膜的打开是通过UV(紫外线)曝光机和化学溶液进行的。 2.6.3.6 Test Matrix 简述了测试基质,组件的烘烤,印刷过程,填充毛细作用和固化。本研究考虑了三种不同的填充材料。它们的粘度为材料#1 = 34 Pa.s(RT),材料#2 = 68 Pa.s(RT)和材料#3 = 15000 Pa.s(RT),如图2.27所示。对于底部填充材料3,由于粘度如此之高,以至于模板的刮刀几乎不移动(即使在60°C的温度下进行了热增强),在其余的研究中也将不考虑使用。 测试矩阵如表2.2所示。可以看出,(1)有两种不同的基板(有机面板和硅晶片);  (2)对于每种基材,有两种不同的填充材料(#1和#2);  (3)对于每个底注,都有两个不同的印刷编号(1次和10次);  (4)每次都有两个不同的温度环境(RT和45°C)。 2.6.3.7 Baking Substrates 填充过程从烘烤有机和硅衬底倒装芯片组件开始。烘烤条件是在120°C下60分钟(在热板上)。此步骤对于无空隙底涂至关重要。否则,组件中夹带的水分将在底垫上形成空隙,如图C-SAM图像所示,图2.28。 2.6.3.8 Printing Process 烘烤后,将倒装芯片组件装入模板印刷机(DEK)中。下划线放置在模板上。印刷速度在150至290 mm / s之间,印刷力为8 kg,卡扣高度为零。如图1和2所示,印刷图案非常均匀。有机基板为2.29L,硅基板为图2.29R。 2.6.3.9 Capillary Action and Curing 印刷后的填充倒装芯片组件放在120°C的热板上。填充物将流到芯片下方,并通过毛细作用填充芯片,焊点和基板之间的空间。填充物从芯片的其他边缘露出后,请固化填充物。 2.6.3.10 Effects of Underfill Viscosity, Thermal Enhancement, and Multiple Prints 实验样品通过C型SAM,X射线,截面,剪切试验和SEM方法进行表征。实验结果示于表2.3。可以看出,对多个印刷品没有显着影响。对于填充#1和#2(带有和不带有热增强)的填充,只有三个具有空隙的芯片,而其他所有芯片都是无空隙的。图2.30显示了具有空隙的倒装芯片组件,这是由于清洗过程中残留的助焊剂造成的。典型的C模式SAM图像如图2.31所示。图2.31(顶部)显示了填充材料为1的硅基板组件上的倒装芯片,而图2.31(底部)在材料2的有机基材上显示出倒装芯片。这些组件中没有任何空隙。热增强对模板印刷填充的影响如图2.32所示。可以看出,对于#1和#2底版材料,(1)在45°C的模板印刷底漆后几乎没有底漆残留;(2)在RT的模板印刷底漆后有很多底漆残留( 无热增强)。 2.6.3.11 Cross Sections 图2.33显示了有机面板组件上倒装芯片的模版印刷底版的典型横截面。可以看出,(1)清楚地显示了芯片边缘上的底部填充;  (2)芯片,焊点和基板之间的底漆没有空隙且已正确处理;  (3)有机基板的铜焊盘(BOP)和铅(BOL)上的焊点看起来非常好。图2.34显示了硅晶片组件上倒装芯片的模版印刷底版的典型横截面。可以看出,(1)清楚地显示了芯片边缘上的底部填充;  (2)芯片,焊点和基板之间的底漆没有空隙且已正确处理;  (3)在硅基板的直径为60μm的铜焊盘上,芯片的直径为40μm的铜柱的焊点看起来不错。 2.6.3.12 Underfill Filler Density 图2.35显示了具有无空隙底绒的倒装芯片组件。据观察,即使没有空隙,也没有空隙。但是,有较暗的斑点。例如,在图2.35的顶部所示的横截面中,焊点7和8之间比焊点8和9之间稍暗。  SEM图像显示,焊点7和8之间的二氧化硅填充物比焊点8和9之间的二氧化硅填充物致密。 2.6.3.13 Shearing Test 模版印刷的填充倒装芯片组件经过剪切测试。剪切刀片的尖端放置在距基材表面30微米处(靠近倒装芯片的底部)。测试仪的最大剪切力设置为60 kg。表2.3和图2.36显示了一些测试结果。可以看出,许多样品通过了60公斤的测试,没有失败。对于失败的样本,失败模式是切屑破裂(开裂),并且没有填充不足的失败。如图2.36所示,对于Si基板组件上的某些倒装芯片,不仅芯片断裂,而且Si基板也开裂。这显示了底部填充的韧性(图2.36;表2.3)。 2.7 Preassembly Underfill 对于预装底部填充,底部填充的应用是在基板或晶圆上,并且在倒装芯片组装之前。  G4 [137]首次提出了带有底漆的C4凸块的回流焊,被称为NUF。如图2.16c所示,Amkor[138]首先研究了在基板上填充非导电性胶(TC-NCP)的C2凸点的高结合力TCB [138],已将其用于为三星的Galaxy智能手机组装高通公司的SNAPDRAGON应用处理器。在图2.37中 NUF和NCP底料可以旋转,用针头分配或真空辅助。通过从玻璃上芯片技术中学习,研究了C2凸点在晶圆上具有非导电膜(NCF)填充的高结合力TCB。例如,三洋[139],日立[140、141],东北[142、143],陶氏[144],海力士[145],KAIST/三星[146、147],Amkor / Qualcomm [148]和东丽[  149–151]用于2.5D / 3DIC集成[7–10]。图2.38显示了NCF在带有焊料帽凸点晶片的Cu柱上的层压。 三星已经在其基于TSV的双数据速率4型动态随机存取存储器(DRAM)上生产了用于C2芯片和NCF的高键合力TCB(从叠层晶圆切割后)以进行3D IC集成,图2.38,并由Hynix在AMD图形处理器单元(GPU)代码名为Fiji的高带宽内存(HBM)上进行。这个3D立方体由高强度TCB的C2芯片和NCF一次堆叠在一起,每个芯片需要* 10 s的时间填充胶膜,焊料熔化,胶膜固化和焊料 巩固。吞吐量是个问题!为了解决这个问题,Toray [150,151]提出了一种集体粘合方法,如图2.39所示。可以看出,带有NCF的C2芯片是在温度= 80°C的阶段上预粘结的(粘结力= 30 N,温度= 150°C,时间<1 s)。对于后期粘合(第一步(3 s):粘合力= 50 N,温度=220–260°C,第二步(7 s):粘合力= 70 N,温度= 280°C),初期温度= 80°C。 ,而不是使用传统方法将40个sinstack堆叠到四个芯片上,而采用集体方法仅需不到14 s。所提出的集体结合方法的横截面的一些图像如图2.39所示。通过优化条件可以实现合理的良好连接。通常,具有高键合力的NCP或NCF(通过TCB)在C2芯片上的支柱之间的间距可以小到10 µm。 2.8 Cu–Cu Direct Hybrid Bonding 索尼是第一个在大批量生产(HVM)中使用Cu-Cu直接混合键合(可同时键合晶片两侧的金属焊盘和介电层)的公司。索尼为三星银河S7生产了IMX260背面照明CMOS图像传感器(BI-CIS),该传感器于2016年交付。电气测试结果[152]显示,其坚固的Cu-Cu直接混合键合实现了出色的连接性和可靠性。图像传感器的性能也非常出色。IMX260BI-CIS的横截面如图2.40所示。可以看出,与[153]中的索尼ISX014堆叠式相机传感器不同,TSV消失了,BI-CIS芯片和处理器芯片之间的互连通过Cu-Cu直接键合实现。信号通过引线键合从封装基板传到处理器芯片的边缘。  Cu-Cu直接混合键合的组装过程始于表面清洁,金属氧化物去除以及硅片的SiO2或SiN的活化(通过湿法清洗和等离子活化),以开发高结合强度。然后,使用光学对准将晶片放置在室温下和典型的洁净室气氛中接触。第一次热退火(100–150°C)旨在增强晶片的SiO2和SiN表面之间的结合同时最小化由于Si,Cu和SiO2或SiN之间的热膨胀失配而引起的界面应力。然后,施加较高的温度和压力(300°C,25 kN,10–3Torr,N2 atm)持续30分钟,以在界面处引入Cu扩散,并在整个键合界面处晶粒生长。粘结后退火是在N2大气压下于300°C进行60分钟。这个过程导致同时形成Cu和SiO2或SiN的无缝键(图2.40)。2.9 Flip Chip Technology VersusFOWLP 倒装芯片技术正面临激烈的竞争。它的某些市场份额将被扇出晶圆/面板级封装(FOW / PLP或简称FOWLP)技术所取代[13,14,154]。图2.41显示了PoP横截面的示意图和SEM(扫描电子显微镜)图像,其中包含生产型智能手机的应用处理器(AP)和移动动态随机存取存储器(DRAM)。该PoP是使用InFO(集成扇出)WLP技术制造的[154]。从底部封装可以看出,已经消除了晶片隆起,助焊剂,倒装芯片组装,清洁,底料分配和固化以及堆积的封装基板(图2.17中所示的AP),并已由底部封装代替。EMC和RDL(用于AP,如图2.41所示)。这样可以降低成本,提高性能并降低性能包。这非常重要,因为开发这些软件包的智能手机公司(苹果公司)和组件公司(TSMC)是“羊的领导者”。一旦他们使用它,那么其他许多人就会跟随。而且,这意味着FOWLP不仅适用于封装基带,RF(射频)开关/收发器,PMIC(电源管理集成电路),音频编解码器,MCU(微控制单元),RF雷达,连接性IC等,也可用于封装高性能和大型(> 120 mm2)SoC,例如AP。 2.10 Summary and Recommendations 在这项研究中,已经对晶圆倒装,封装基板,组装以及用于倒装芯片技术的填充进行了研究。一些重要的结果和建议如下:•倒装芯片技术来自很长一段时间:从三焊球的ipip芯片到10,000焊球的ipip芯片,到2020年可能达到50,000焊球的ipip芯片。那时,倒装芯片的间距可以小到30 µm,如图2.42所示[155,156]。 •倒装芯片技术正面临激烈的竞争,其某些市场份额将被FOWLP技术夺走。  •C2凸块具有更好的热性能和电性能,并且可以比C4凸块下降到更细的间距(焊盘之间的间距更小)。但是,应针对相对性能特性(例如电迁移寿命,热疲劳寿命,信号速度,芯片结温等)进行更多的研究和开发工作。•C2凸块的自对准特性(倒装芯片技术最独特的功能之一)远不及C4凸块。因此,质量流通常应用于C4凸块。 •带有C2凸块的芯片通常由TCB用强力组装,而有时用力较小。  •TCB的优点是引脚数更多,引脚间距更细,芯片更薄,密度更高,封装基板更薄以及控制翘曲和芯片倾斜。  TCB的缺点之一是吞吐量(与质量流量相比)。  •具有十个堆积层(5-2-5)且线宽和间隔为10 µm的封装衬底足以支撑大多数lip芯片。 •应针对便携式,移动,可穿戴和物联网应用,对创新的低成本ETS和无芯基板进行更多的研究和开发工作。为了有效地利用BOL技术来增加布线密度,从而降低成本并减小有机封装基板的尺寸,应该做更多的研究和开发工作。  •对于铜对铜直接扩散键合,焊盘之间的间距为5 µm或更小。 •对于带有CUF或MUF的C4凸块芯片的大批量生产,凸块之间的间距低至50 µm。  •对于带有CUF或MUF的C2隆起芯片的大流量,Cu柱之间的间距低至25 µm。  •对于带有CUF或MUF的带有C2凸起芯片的力较小的TCB,Cu柱之间的间距低至8 µm。  •对于带有NCP或NCF填充的带有C2凸块的力较大的TCB,Cu柱之间的间距低至10 µm。  •对于组装后填充方法,通常将CUF或MUF应用于具有大流量的倒装芯片组件和采用低结合力方法的TCB。  •对于预填充底部填充方法,通常在倒装芯片组装之前应用NUF,NCP或NCF。  NUF具有大流量,NCP或NCF具有高强度TCB。通常,将NUF和NCP施加在基板上,然后将NCF层压到C2凸块晶圆上,然后切成单个芯片。  •Toray的集体TBC具有高潜力的方法可能是潜在的高通量工艺,用于堆叠带有层压NCF的C2芯片。 •现在,索尼已经将其带有铜-铜混合键合的BI-CIS应用于HVM中,为了进一步提高3D IC集成的吞吐量,应该对使用铜-铜混合键合的DRAM晶圆堆叠进行更多的研究和开发。

    11-27 36浏览
  • 光刻胶的坚膜工艺以及常见光刻胶

    关于常用光刻胶型号也可以查看这篇文章:收藏!常用光刻胶型号资料大全,几乎包含所有芯片用光刻胶 来源:芯one 声明:本文由半导体材料与工艺转载,仅为了传达一种观点,并不代表对该观点的赞同或支持,若有侵权请联系小编,我们将及时处理,谢谢。

    09-26 309浏览
  • TSV应用技术进行了分析和总结

    摘要: 随着电子技术的高速发展,更高密度、更小型化、更高集成化以及更高性能的封装需求给半导体制造业提出了新的挑战。由于物理限制,芯片的功能密度已达到二维封装技术的极限,不能再通过减小线宽来满足高性能、低功耗和高信号传输速度的要求;同时,开发先进节点技术的时间和成本很难控制,该技术的成熟需要相当长的时间。摩尔定律已经变得不可持续。为了延续和超越摩尔定律,芯片立体堆叠式的三维硅通孔(TSV) 技术已成为人们关注的焦点。综述了TSV 结构及其制造工艺,并对业内典型的TSV应用技术进行了分析和总结。 0 引言 芯片是信息社会发展的基石,在人工智能、高性能计算和5G/6G通信等关键领域发挥着重要的作用,作为数字经济中的“硬科技”,芯片发展正得到前所未有的重视。而人们对高速、高密度、小尺寸和多功能电子器件的需求推动了3D集成封装技术的发展。 3D 封装是将不同功能的芯片异质集成到一个封装体中,信号从芯片的正面传递到背面,实现了堆叠的多层芯片之间(如图像传感器、MEMS、RF、存储器)的信号传输,为高性能计算、AI等提供更小的封装尺寸、更高的互连密度和更好的性能[1],3D 集成技术的应用与前景如图1所示。3D集成技术中芯片之间的互连方式主要有引线键合、球栅阵列和TSV,而使用TSV转接板进行3D集成已经在多款高端产品中得到应用。典型产品包括三星量产的基于TSV和微凸点互连的64 GB DRAM 和英特尔采用Foveros 3D 封装技术的Lakefield处理器。华天科技有限公司开发的硅基埋入扇出三维封装(eSinC)技术,通过重布线(RDL)和Via-Last TSV技术将不同工艺节点或不同功能的芯片集成到1个封装体中,可以实现三维异质异构集成封装。三星推出的3D 封装技术X-Cube 采用TSV 技术进行不同芯片之间的通信连接,可以将SRAM存储芯片堆叠到主芯片上方,减少芯片面积,提高集成度,采用该技术封装完成的芯片拥有更强大的性能以及更高的能效比。台积电提出的3D 系统级集成单芯片(SoIC)技术的凸点间距最小可达6 μm,是3D 封装的最前沿技术。显而易见,未来使用的电子产品中,采用TSV硅转接板进行3D集成的芯片封装比例会越来越高。目前用于三维互连与集成技术的TSV 直径约为5~10 μm,深宽比约为10∶1。与其他技术的发展方向相似,TSV的直径、间距、深度以及微凸点的尺寸和节距等关键尺寸亟需缩小。目前更小尺寸和更细节距的TSV 技术(如直径为1~3 μm)已在研发中,未来有望实现亚微米直径的TSV。量产的重布线技术中的最小线宽和间距约为2 μm/2 μm,未来也会逐渐缩小到亚微米水平。缩小关键尺寸可以在提高集成密度的同时改善产品性能。 本文介绍并比较了Via-First、Via-Middle、Via-Last3种不同的TSV集成方案,针对TSV技术中的各个核心步骤做了详细的讲述,综述了硅通孔三维互连与集成技术在3D晶圆片级芯片规模封装(WLCSP)、3D扇出封装(FO)、2.5D CoWoS和3D IC 先进封装领域成功应用的范例,阐述当前技术现状并探讨存在的技术难点及未来发展趋势。 1 TSV结构、性能和集成流程 1.1 TSV定义和基本结构 TSV 是1 种连接硅晶圆上、下两面并与硅基板和其他通孔绝缘的电信号互连结构。硅通孔的起源要追溯到1958 年William Shockley 申请的一项名为“半导体晶圆及其等效化方法”的专利,其目的是通过硅通孔将上、下2 片晶圆连接起来,如图2(a)所示[2]。根据TSV 的定义,可以知道TSV的基本结构主要包括穿透硅基板的导电填充物及与侧壁的绝缘层,如图2(b)所示。为了实现硅基板上下面的电气互连,同时还需要正面和背面的互连层,以实现信号的互连和再分布。 1.2 TSV工艺流程概述 TSV 工艺流程包括多种方法,对于三维集成电路而言,TSV工艺分为Via-First、Via-Middle、Via-Last,其中Via-Last 又分为晶圆正面的后孔(Front SideVia-Last)及从晶圆背面的后孔(Back Side Via-Last)技术。Via-First型一般是指先在硅晶圆上加工TSV,然后再加工其他包括电路的器件,目前主要指TSV 转接板的制造,在TSV 制造之后不再加工有源器件,直接加工互连层;Via-Middle 型一般是指TSV 在器件加工与后道互连加工之间形成,是目前IC工厂主要采用的方案,很多机构将TSV 转接板的加工也归为Via-Middle型;Via-Last 是指TSV 在所有IC工厂工艺完成之后进行,可以由晶圆级封装工厂独立完成,是目前TSV产业化最为成熟的方案之一。图3描述了不同TSV工艺流程的步骤[3]。 1.3 Via-First工艺 Via-First工艺是指在器件结构制造之前先进行通孔结构制造的1种通孔工艺方法。晶圆上先形成通孔结构,并在孔内沉积高温电介质(热氧沉积或化学气相沉积),然后填充掺杂的多晶硅,最后通过化学机械抛光(CMP)去除多余的多晶硅。这种方法允许使用高温工艺来制造绝缘化的通孔(即高温SiO2钝化层)并填充通孔(即掺杂的多晶硅)[4]。由于多晶硅通孔的高电阻率,Via-First工艺并未被广泛用于有源器件晶圆。使用Via-First 工艺的图像传感器和微机电系统产品数量有限,对于这些应用,通孔尺寸较大(大于100 μm),因此掺杂多晶硅通孔的电阻是可以被接受的。 在Via-First 方法中,TSV 在晶圆的器件侧形成,然后进行键合和减薄处理。TSV可以在一开始就引入到器件流程中,这意味着热负载没有限制。Via-First工艺中掺杂了大量多晶硅,这让设备具有了在制造初期集成TSV的能力。多晶硅允许使用高热负载,这在高压情况下是一个主要优势,因为它允许使用热氧化物作为隔离材料。低电阻率是TSV填充材料的关键点之一,在后端中其他材料如钨也可以用于Via-First方法。 1.4 Via-Middle工艺 TSV 可以实现从有源侧到芯片背面的电连接,为其提供最短的互连路径,并为最终的3D 集成创造途径。TSV 可以在IC 制造过程的不同阶段实现,而Via-Middle 工艺应用在前端器件制造工艺(FEOL)之后、后端器件制造工艺(BEOL)之前,可以实现高质量、高可靠的三维互连。 Tezzaron 是最早提出Via-Middle方法的人之一,他演示了在FEOL 处理之后实现埋入式W-TSV触点,然后在BEOL中互连堆栈[5]。2006 年,BEYNE[6]提出了1 种使用铜TSV 的Via-Middle 方法和1种芯片到芯片或芯片到晶圆的堆叠方法,被大多数半导体公司作为三维集成流程的参考。2011年,IMEC在300 mm晶圆上推出了直径为5μm、深度为50 μm、深宽比为10∶1的符合行业标准的Via-Middle TSV 模块[7]。2016年,BEYNE[8]进一步提出了直径为5 μm、深度为50 μm 的TSV 三维集成技术,同时提出了1种用于预测设备应力影响的验证模型。多层三维模具堆叠组件如图4 所示,使用铜TSV 作为微凸点,将芯片热压键合(TCB)到模具正面的电镀微凸点上,并直接将其用于3D 芯片堆叠,可得到间距为20 μm、直径为5 μm、深度为50 μm的6层TSV堆叠组件。 Via-Middle工艺的主要步骤如图5所示。它由光刻、TSV 刻蚀、氧化层沉积、扩散阻挡层和种子层沉积、TSV 镀铜和铜退火、CMP 组成。该技术已应用在2.5D及3D封装等多种高端封装领域。Xilinx公司[9]将Via-Middle技术应用在FPGA产品上,制作了具有数千个节距为45 μm微凸点的硅中介层测试芯片。硅中介层厚度为100 μm,通过节距为180 μm 的C4 凸点安装在尺寸为42.5 mm×42.5 mm 的基板上,优化了TSV 制造工艺步骤和安装在无铅微凸点TSV中介层上的大型逻辑芯片的组装工艺,以及元件在有机衬底上的组装方式,Xilinx 的FPGA 产品芯片剖面图如图6(a)所示。美光公司使用Via-Middle型硅通孔和复杂的键合封装技术构建DRAM和逻辑存储器堆栈,增加宽带,改善信号延迟,减小芯片尺寸[10],美光公司HMC产品示例如图6(b)所示。SK 海力士公司通过Via-Middle工艺制备了8 GB 堆叠高带宽内存(HBM),通过在HBM DRAM 中配置直接存储端口和各种逻辑测试单元,存储器能够在Chip-on-Wafer(CoW)水平上执行TSV故障修复,大大提高了测试的可靠性[11]。 1.5 Via-Last工艺 最常见的Via-Last TSV集成流程与Via-First TSV和Via-Middle TSV 集成流程类似,区别在于,Via-LastTSV 在键合晶圆平台上实现[12],图7(a)为Via-Last 工艺流程图。首先将晶圆与玻璃进行临时键合及整面减薄,结合光刻工艺和干法刻蚀工艺制备直孔刻蚀形貌,接着采用化学气相沉积制备绝缘层,以及采用干法刻蚀完成氧化硅刻蚀,紧接着用物理气相沉积法沉积金属种子层,电镀填充硅通孔后,用化学机械抛光除去表面金属,随后沉积金属种子层、光刻线路、整面电镀、除去光阻和刻蚀金属种子层,从而形成线路。此流程的1 个优点是RDL 线宽/ 线间距与Via-LastTSV 单点工艺无关,精细的RDL(2 μm/2 μm)仅受光刻工艺和湿法刻蚀工艺的限制。然而,在临时键合晶圆上进行CMP是本流程的一个挑战,文献[13]中有针对性的讨论和分析。一个挑战是CMP后晶圆边缘的铜残留物难以去除;另一个挑战是TSV 图案使临时键合晶圆上的CMP均匀性差。除此之外,其还存在制造成本相对较高的问题。 另一种Via-Last TSV 流程可以单步完成TSV 线路[14],图7(b)是此流程的工艺流程图。这一流程中形成金属种子层之前的流程与一般Via-Last TSV 一致;在形成金属种子层之后,对线路进行光刻、整面电镀、除去光阻和刻蚀金属种子层,一步形成线路。此流程工艺简单,成本低,但是RDL线宽、线间距的工艺能力有限(>5 μm)。通常,TSV电镀铜时需要厚的金属种子层,因此,在电镀铜完成后需要进行较长时间的湿法蚀刻来消除种子层。除非能够实现对湿法蚀刻工艺的良好控制,否则在经过长时间湿法蚀刻后,当线宽、线间距都<5 μm时,线路可能会塌陷。 另一种BEOL同样可以单步完成TSV 线路,工艺流程如图7(c)所示。首先将晶圆与玻璃进行临时键合及整面减薄,然后结合化学气相沉积法、光刻工艺和氧化硅刻蚀工艺制备具有线路图形的绝缘层,接着采用光刻工艺、干法氧化硅刻蚀和干法硅刻蚀制备直孔形貌,同时采用干法刻蚀完成氧化硅刻蚀,紧接着用物理气相沉积法沉积金属种子层,电镀填充硅通孔后用化学机械抛光消除表面金属,形成线路。这种集成流程可以实现非常细的线宽和线间距,但是成本可能很高。此外,这种Via-Last TSV 流程还面临临时键合晶圆上CMP不均匀的问题,因此需要对临时键合工艺进行优化[15],需要特定的键合技术和键合胶来解决在CMP中观察到的问题。 还有一种替代CMP的TSV工艺流程,其具有以下优点:1)可实现精细的RDL线宽、线间距(<2μm);2)不需要CMP 工艺,因此对临时键合技术和键合胶没有要求;3)CMP工艺被更便宜的湿法蚀刻工艺所取代,因此成本较低。这种无CMP 的流程与一般Via-Last TSV流程相似,其中CMP工艺被湿法蚀刻工艺所取代,详细流程如图7(d)所示。TSV深孔电镀后,由湿法蚀刻工艺取代CMP 消除铜覆盖层和铜/ 钛PVD种子层,然后进行铜退火,并形成RDL[16]。采用湿法蚀刻工艺代替CMP,工具和材料成本可降低约8%。这使其成为更具成本效益的Via-Last TSV 集成流程之一,与TSV 和RDL单步电镀流程相当。此外,因为用于RDL 电镀的铜种子层更薄,此种无CMP 的Via-Last TSV 集成流程还可以形成精细的铜RDL 线宽、线间距(<2 μm)。 TSV 填充金属有3 种方式:完全填充、侧壁填充和半填充。完全填充TSV RDL如图8(a)所示,适用于高密度TSV应用[17];半填充TSV RDL如图8(b)所示,在一些研究中有提到[18]。侧壁填充TSV RDL 如图8(c)所示,在TSV 侧壁覆盖1层线路,可用于线路相对不密集的情况[19]。 综上所述,基于TSV 工艺在整个芯片制造流程中的相对位置,主流的TSV 工艺可分为Via-Middle 和Via-Last 2 条路线。Via-Middle 是目前主流IC 工厂加工TSV 选用的集成方案,主要应用于包括TSV 转接板和预埋TSV 的芯片。而在Via-Last型集成方案下,TSV 在所有芯片制造工艺之后进行,其可以从背面加工也可从正面加工,目前产业界主要是从背面加工TSV,与正面焊盘直接形成电互连通道。其最典型的产品应用是CMOS图像传感器(CIS)。 2 TSV单元工艺 2.1 TSV刻蚀技术 硅刻蚀起源于MEMS新产品开发需求,因其气体解离程度很高,又被称为深度反应离子刻蚀(DRIE)。 最常用的DRIE 工艺被称为“博世”工艺[20]。该工艺交替使用短步骤的六氟化硫(SF6)等离子体来快速且各向同性地消除硅、短步骤的八氟环丁烷(C4F8)等离子体沉积来保护侧壁。在用SF6进行下个刻蚀步骤的第一步时,聚合物层将在特征底部被移除。由于使用“F”自由基进行硅刻蚀,该工艺能提供非常高的刻蚀选择比和蚀刻速率。除了SF6和C4F8以外,硅刻蚀过程的因素如偏置比频率、压强、气流量、温度和占空比等参数,也会影响刻蚀形貌。 由于TSV 的深度为50~300 μm,即使刻蚀速率高达10 μm/min, 300 μm 的TSV 也需要30 min 才能完全刻蚀。因此,使用基于氟化学反应的等离子驱动器,有利于相对快速地完成硅刻蚀,制备垂直硅通孔;当然,如果制造过程过于激进,硅通孔的形貌会受到显著影响,出现明显缺陷。常见的硅刻蚀侧壁缺陷为粗糙度大、硅缺口(Notch)和“微草”,这些缺陷会直接影响TSV集成的电性表现。 在TSV中,粗糙的扇形轮廓会给后续的金属填充带来问题。轮廓角度和侧壁表面粗糙度在大多数应用中是非常重要的,硅刻蚀用于硅模具制造时,扇贝锯齿形轮廓会造成脱模困难,所以制备平滑的直孔形貌对于硅刻蚀应用非常重要。为了尽量减小直孔侧壁的扇形锯齿轮廓,制备垂直且光滑的通孔,有研究尝试在干刻蚀后用氢氧化钾(KOH)和异丙醇(IPA)进行湿刻蚀以促使表面平滑,降低侧壁粗糙度,但此工艺较复杂,没有被广泛应用。在干法刻蚀步骤中加入氧气也可以促使表面更光滑,但会降低刻蚀的选择性[21-22]。传统的刻蚀工艺配方会产生100~200 nm 的侧壁扇贝锯齿。对刻蚀过程进行优化,以牺牲侧壁轮廓角度为代价来减少钝化时间,侧壁纹波可达到10 nm左右,但是此时硅形貌属于斜孔。刻蚀速率随着深度的增加而降低(负载效应),沟槽侧壁上部的扇贝比下部的扇贝更深、间距更远,也就是说,表面粗糙度随着深度的增加而降低。因此,硅通孔刻蚀时,采用稳态一步法去完成第一部分刻蚀,然后采用时间复用法刻蚀到最终深度,以减少沟槽侧壁粗糙度。然而,用这种方法制成的TSV刻蚀形貌有2种工艺之间的明显过渡[23],如图9所示。 扇贝锯齿轮廓与许多工艺参数相关。研究发现,在保持通孔垂直的前提下,当过程控制良好时,扇贝剖面上的峰谷距离可在50 nm 左右;同时发现功率与压力的比值对扇贝的形状有重大影响,二者比值越大,扇贝的外形越光滑;另外,合理使用C4F8沉积保护层可以有效降低侧壁粗糙度。为了抑制侧壁扇贝锯齿的产生,刻蚀和钝化周期通常只维持几秒钟(约3~5 s)。由于停留时间短,循环步骤会导致气体在转变过程中发生重叠和混合。人们认为,等离子体环境中的这种气体混合会促进副产物聚合。然而,这种气体的混合可能会使通过改变刻蚀和钝化周期控制轮廓变得困难。因此,有时在刻蚀和钝化步骤之间会引入第3个步骤以完全排出反应物气体。实践证明,添加第3步有利于控制刻蚀形貌。刻蚀轮廓随着沟槽深度或纵横比的变化而变化,解决这一问题的实用方法是创建1个多步骤工艺配方,根据深度改变偏置功率或直流偏置电压。直流偏置电压随着刻蚀步骤时间的变化可以改善整体轮廓,但不同步骤之间的轮廓可能存在明显的过渡,因此,增加更多的步骤或随时间不断变化的直流偏置电压可以获得更平滑的轮廓。 Notch缺口是直孔刻蚀中常见的现象,缺口指的是由于电荷积累在硅与下垫层的边界上产生的特殊横向刻蚀,它只发生在硅下面有介电层的地方。最初,在MEMS 制造的绝缘体结构上刻蚀硅时可以观察到Notch缺口,其中绝缘体层分布在晶圆的背面。在某些硅通孔应用中,刻蚀停止层通常是SiO2 或SiN,被用于防止冷却氦泄漏,但在晶圆刻蚀时可能会引入Notch缺口。当存在显著的微加载时,缺口会变得更加严重,由于加载效果需要适度的过度刻蚀,在刻蚀停止暴露后会继续刻蚀一段时间,以允许完全清除整个晶圆上的硅。防止产生过大缺口的第1种方法是在过刻蚀期间通过增加沉积步骤的长度来增加聚合物的厚度;第2种方法是增加离子刻蚀机的真空腔室压力,当压力增加时,离子能量降低,导致聚合物的溅射率低,从而减小了缺口的尺寸;还有一种方法是调整等离子刻蚀机的电极功率,将加载功率从连续式改为分段的间歇式或瞬时的脉冲式,当加载功率不连续时,在有功率段硅通孔内发生离子电荷反应,无功率段硅通孔内离子电荷消散,从而有效控制了硅通孔内的离子电荷,最终有效控制硅通孔的底部缺口。 微草是刻蚀后在底部表面残留的聚合物形成的硅微柱。解决这个问题的方法是增加偏置功率消除基础聚合物;但增加偏置功率可能会产生一些副作用,较高的偏置功率可能会破坏侧壁上的钝化层,在侧壁上形成瓶状形貌。另外,通过增加刻蚀步骤时长也可以控制微草问题。其他可以减少微草的参数包括温度、电感耦合等离子体(ICP)功率和压力。当温度较低(-10 ℃)时,钝化层沉积速率高,刻蚀速率低,可能产生微草,而较高的温度有助于微草的清除。由于钝化层沉积程度的不同,微草也会受到特征尺寸或长宽比的影响。小开口孔型由于孔底较难沉积钝化层,发生微草的可能性较小;大开口孔型由于孔底容易沉积钝化层,极易发生微草现象。 除了侧壁缺陷以外,文献[24]报道了3种在TSV刻蚀过程中造成硅侧壁和表面缺陷的机制:第1 种是由于在钝化步骤和刻蚀步骤之间的过渡阶段残留的聚合气体的参与,形成的向下的表面缺陷;第2种是由于刻蚀剂攻击硅和侧壁聚合物之间的界面,形成的向上的表面缺陷(虽然侧壁聚合物的厚度足以保护硅表面,但如果不及时将刻蚀步骤切换到钝化步骤,则表面会不可能避免地产生缺陷);第3种是通过硅各向同性刻蚀,由于不良的聚合物沉积或侧壁聚合物内部的空隙形成的海绵状表面缺陷。这3种表面缺陷被认为是影响TSV集成和封装可靠性问题的主要因素。 2.2 TSV侧壁绝缘技术 TSV 侧壁需要绝缘,防止金属和硅之间发生短路,这对器件的可靠性至关重要。通常情况下,TSV的介电绝缘层需要良好的台阶覆盖和均匀性,以保证高击穿电压、低漏电流、不开裂、低应力和工艺温度相容性。TSV中金属与硅之间的电绝缘层的制备采用了不同的工艺。由于SiO2易于在硅表面沉积而被广泛用作绝缘体,许多氧化过程如热氧化、等离子体增强化学气相沉积(PECVD)和亚大气化学气相沉积(SACVD)已经被广泛研究。由于在低压和低沉积速率下分子平均自由程增加,热氧化工艺和SACVD工艺提供了非常高的步骤覆盖率和一致性。然而,这2种方法有一些明显的缺点。热氧化工艺在700~1 100 ℃高温下进行,台阶覆盖率100%,SACVD采用O3/TEOS在400℃下沉积SiO2,工艺温度比热氧化工艺稍低,台阶覆盖率大概50%,然而由于MEMS 和CMOS等器件中使用的材料之间的热膨胀系数不匹配,较高的温度可能导致额外的应力及损伤,无法采用高温工艺;另外,Via-Last TSV 使用临时键合技术,而临时胶的耐温性约为200℃;因此,热氧化工艺和SACVD工艺无法应用于Via-Last TSV 工艺中。另外,SACVD 工艺沉积SiO2的速率低,氧化膜通常存在拉伸应力,不利于器件的可靠性。PECVD TEOS 工艺可在低温(<200 ℃)下进行,残余压应力小,沉积速率高,非常适合应用在Via-Last TSV 集成工艺中。因此,尽管PECVD TEOS膜的台阶覆盖率(10%~30%)相对较低,但仍被广泛应用于TSV 中介质绝缘层的制作[25-26],PECVD TEOS 工艺SEM图如图10所示。 2.3 TSV微孔金属化技术 微孔金属化实现器件的信号互连,是TSV 的核心技术之一。金属层一般由阻挡层、种子层和导电层组成,阻挡层用于阻挡线路金属与器件金属的相互扩散,避免金属扩散后发生分层;种子层是导电层的准备层,通过金属离子化及二次溅射等技术实现深孔上金属材料的连续覆盖,确保后续电镀工艺的有效进行;导电层是金属线路的电信号传导层。阻挡层和种子层的制作一般通过物理气相沉积或者电化学修饰技术实现,其中钛和钽为最常用的阻挡层材料,铜和铝为最常用的种子层,铜为最常用的导电层。硅通孔方向的种子层的厚度分布会有差异,影响硅通孔填充金属时电流密度的分布,进而影响硅通孔的填充效果[27-29]。 硅通孔的电镀铜填充有多种模式:理想的自底向上生长模式、等壁生长模式、蝴蝶结型生长模式和“V”型生长模式等。由于受电场在孔内分布和物质扩散能力的影响,一般情况下深孔开口处沉积速度较快,容易形成有孔洞的深孔填充。因此,在硅通孔电镀填充液里通常添加加速剂、抑制剂、整平剂等来控制孔内各处沉积铜的速度,以实现硅通孔的无孔洞填充。也有学者研究在无添加剂的情况下利用脉冲电镀技术实现硅通孔的无孔洞填充[30-33]。 综上所述,TSV 刻蚀技术的难点是改善3种缺陷:扇贝、缺口和微草。TSV侧壁绝缘技术的关键点是控制沉积温度、加快沉积速率、提升侧壁覆盖率和降低成膜残余压应力。TSV微孔金属化技术的重点是阻挡层、种子层和导电层,需关注硅通孔内金属的填充效果。TSV刻蚀技术、TSV侧壁绝缘技术和TSV微孔金属化技术是TSV技术的3大核心,直接影响着TSV技术实际应用中的电性能和可靠性表现。 3 基于TSV的先进封装技术 3.1 3D WLCSP技术 半导体产业将硅通孔技术广泛应用于影像传感器的晶圆级芯片封装(WLCSP),因此,带有三维立体硅通孔技术的晶圆级芯片封装也常被称为三维晶圆级芯片封装技术(3D WLCSP)[34],利用高密度硅通孔技术实现影像传感器与外部信号的互连。 一种影像传感器的封装工艺流程如图11 所示。先以光玻璃为原材料,根据不同器件的感光区差别和芯片尺寸差别,制备不同的空腔玻璃,然后将空腔玻璃与晶圆进行压合。通过研磨或干法刻蚀对硅基进行减薄,先通过光刻和刻蚀制作出硅基结构,再制备钝化层,打开金属Pad 后重布线路、包裹阻焊剂、制备锡球,最后切割成单颗芯片[35]。针对特殊的光学要求会使用特殊玻璃,或者在硅基表面的部分区域(对应于影像传感器的感光区域) 制备1 层红外遮挡层(IR-Block)。 晶圆键合是一项成熟的工艺,其中,玻璃作为原材料,用光刻技术在玻璃上制备空腔,用滚筒上胶或丝网印刷的方式使得键合胶均匀分布在玻璃空腔的表面,再将玻璃空腔与晶圆进行压合,最终使芯片的感光区被玻璃空腔保护起来。影像传感器的玻璃载板一般使用光玻璃,当透光率要求较高时会使用增透镀膜玻璃,包括单面镀膜和双面镀膜2种类型。 为了实现硅通孔,先将晶圆研磨减薄到要求的厚度,再通过刻蚀方式进一步减薄并消除表面应力。非键合类硅基一般只需研磨减薄,但键合类晶圆在研磨后需要用干法刻蚀消除应力,而干法刻蚀厚度要根据芯片的空腔比来确定。通过光刻方式将晶圆表面整面盖住,曝光显影出图形,然后通过干法等离子刻蚀工艺刻蚀出硅结构。 在重布线之前,采用PECVD 工艺沉积SiO2作为第一层钝化层。由于键合胶的耐热性较差以及键合空腔的存在,直接限制了PECVD 工艺的温度条件,因此,CIS封装一般采用低温PECVD工艺。在重布线之前,采用光刻胶作为第二层钝化层,可以增加绝缘效果。钝化胶采用喷胶的方式实现,在有结构的硅表面形成钝化层,再通过曝光、显影将芯片Pad 位置打开,由于孔有一定的深度,钝化层的开口根据硅基深度和Pad开口进行设计。 在钝化胶形成后,用氧化层刻蚀方法将芯片Pad上层的氧化层刻蚀干净(将Pad 打开),再采用金属RDL的方式将芯片的信号引出。先通过物理气相沉积在晶圆上沉积一层种子层,再整面电镀一层金属铜,之后光刻出线路,光刻可采取喷涂或者旋涂方式,喷涂方式更稳定,作业效果更好。光刻之后,刻蚀金属形成线路,然后镀上镍和金,形成金属保护层。 在RDL完成之后,采用Spin 或者Print 工艺对晶圆表面的金属线路涂布一层阻焊剂进行保护,通过曝光显影将焊盘打开。在阻焊层形成焊盘开口后,用植球的方式在上面做出锡球,锡球的直径和高度与产品的焊盘开口及所用锡球的直径相关。最后将整片晶圆切割成单颗芯片,完成封装。 3.2 3D FO技术 2018年,华天科技基于硅基扇出型封装(eSiFO)技术推出三维系统集成技术eSinC。eSinC技术也可称为3D FO三维扇出系统级封装技术,是在硅基扇出型封装技术的基础上,利用高密度TSV 形成上下芯片信号互联传输的技术。该技术成功集成了多芯片嵌入、临时键合、RDL布线、高深宽比TSV 和芯片三维堆叠等关键工艺。 三维堆叠eSinC封装如图12所示。1个或几个良品Die被嵌入到单个eSinC封装中,通过光刻、溅射与电镀工艺在eSinC 封装的正面和背面形成2 层RDL,再形成微凸点和TSV 通孔用于实现3 个独立eSinC封装与嵌入式芯片之间的电信号互联。eSinC 技术不仅可以在单个封装内实现多芯片的互连,还可以实现不同封装之间的互连。 图13为三维堆叠eSinC的工艺流程图,在正面设置RDL和微凸点后,通过临时键合技术将晶圆与玻璃键合到一起,在背面制造TSV、RDL、微凸点以形成信号互联。 eSinC正面制造工艺流程主要包括在硅片上形成空腔、埋入芯片、干膜填充、RDL 布线、形成微凸点或焊盘。通过Bosch刻蚀工艺在硅表面形成空腔。空腔的长度和宽度由嵌入的芯片尺寸决定,通过工艺优化,总厚度变化可以控制在5 μm 之内。在空腔形成过程中,腔内底部凸点是不被允许的,因为会造成芯片的倾斜或裂纹。通过PECVD工艺在表面沉积氧化层,作为RDL与硅载体之间的绝缘层。通过优化氧化膜的应力来控制重构晶圆的翘曲。 eSinC 背面制造工艺流程主要包括临时键合、形成TSV、RDL布线以及形成锡球。通过Bosch刻蚀工艺获得目标TSV 以形成电性互连,优化PECVD 工艺使得氧化层覆盖率达到一定标准,从而避免氧化层沉积缺陷引起的漏电问题。干膜填孔技术进一步改善了晶圆表面平整度,起到保护晶圆表面的作用;通过光刻、电镀等工艺形成RDL多层线路并形成锡球用于电性号输出。最后通过激光解键合技术将玻璃解离切割后得到eSinC成品。 3.3 2.5D封装技术 2.5D 封装是一种介于2D 封装和3D 封装之间的先进封装技术,通过带有TSV 垂直互连通孔的转接板,将若干个通过微凸点键合在转接板上的芯片与封装基板间形成互连。同时,转接板上的RDL也可实现芯片之间的互连。 2.5D封装技术可实现ASIC和内存芯片的异构集成,多年来已在许多产品中得到应用。2.5D 封装的主要特点是有硅中介层,通过其中的TSV 连接异构IC芯片(如GPU和HBM)和构建基板。如图14所示,芯片模块由ASIC/ 逻辑模组、HBM、硅中介层、微铜柱、中介层背面铜柱、下填料和成型化合物组成。2.5D 封装有多种工艺流程。对性能持续增强的需求需要更大的中介层面积,以便能够与更多的ASIC 芯片和HBM结合。然而,当中介层尺寸增加时,材料的CTE错配引起的芯片模块翘曲不容易控制。业界已经研究了其热翘曲行为和相应的解决方案,以提高在基板上黏贴大型模压中介层的倒装工艺的良率和可靠性。 在2.5D封装中,采用TSV 有以下优势:1)能提供更短的电路连接,大幅提高信号的传输速度;2)能实现高密度、高深宽比连接,拥有更多的信号通道;3)能替代效率低下的引线键合方式,使信号传输速度更快、功耗更少,并保证传递功率的一致性;4)能使高密度堆叠成为可能,拥有更高的封装密度,有效降低成本。 2012 年,基于TSV 和硅转接板技术,台积电开发了名为“CoWoS”的2.5D 先进封装技术。其采用一种TSV/RDL中介系统,整个封装由1块无源硅中介层、TSV、RDL和没有TSV的芯片组成。这块包含TSV的无源硅中介层用于支持高性能、高密度、细间距芯片,其RDL用于芯片之间的横向通信,如图15所示。 图16显示了Altera/TSMC设计和制造的样品。无源中介层中有45 μm 间距的二十多万个微凸点和至少0.4μm 间距的4层RDL(3个铜大马士革层和1个铝层)。CoWoS技术目前已经大量应用在CPU、GPU、AI加速器、FPGA等高端芯片封装上[39]。 3.4 3D IC技术 3D 集成是将薄芯片与TSV 和微凸块堆叠在一起,而3D硅集成是将薄晶圆/芯片单独与TSV 堆叠,即无凸点键合。与3D IC集成相比,3D硅集成的优点是:1)更好的电气性能;2)更低的功耗;3)更小的尺寸;4)更轻的质量;5)更高的产量。3D IC/硅集成最有力的支持者是1965 年诺贝尔物理学奖得主理查德·费曼。他在1985年的演讲《未来的计算机器》中提到:计算能力的另一个改进方向是使物理机器三维化,而不是全部放在芯片表面上。这可以分阶段完成,而不是一次性完成———你可以有几层,然后随着时间的推移增加更多的层。 2018年底,英特尔宣布了一项名为Foveros的3D芯片堆叠技术。它利用大型硅载体来集成多个芯片,并且通过将有源器件集成到硅载体中而不同于无源硅中介层。SoC(例如CPU、GPU 和LPDDR4)被划分并被分割成芯粒(Chiplet),例如CPU被分割成1 个大CPU 和4 个小CPU,如图17 所示。这些芯粒通过CoW工艺面对面地堆叠在有源TSV 中介层上,芯粒与逻辑芯片的互连方式为微凸点,芯片与封装基板之间的互连方式是C4 凸点,封装基板与PCB 之间的互连方式是焊球,最终封装形成PoP结构。 图18 显示了台积电的前端系统集成芯片(SoIC)技术以及传统的3D集成芯片与倒装芯片技术。可以看出,SoIC 与3D IC 的关键区别在于SoIC是无凸点的,并且芯粒之间的互连是铜-铜混合键合。SoIC的组装工艺可以是Wafer-on-Wafer (WoW)、CoW 或Chip-on-Chip(CoC)的混合键合。 SoIC芯片是垂直混合键合的,倒装芯片是二维并排组装的。SoIC技术具有比倒装芯片技术更好的电气性能,如图18(b)所示。可以看出,采用SoIC技术的插入损耗几乎为零,远小于采用倒装芯片技术的插入损耗。图18(c)显示了不同封装技术的凸点密度,如倒装芯片、2.5D/3D IC、SoIC 和SoIC+等。可以看出,SoIC可以以极高的密度达到超细间距,且没有来自细间距倒装芯片组装的可靠性问题。 综上所述,TSV 技术是芯片垂直堆叠互连的关键技术。此前,芯片之间的大多数连接都是水平的,TSV的诞生让垂直堆叠多个芯片成为可能。TSV技术实现了硅通孔的垂直电气互连,减小信号延迟,降低电容、电感,实现芯片的低功耗、高速通信,增加带宽和实现器件集成的小型化。3D WLCSP、3D FO技术、2.5D封装技术、3D IC 技术无一不是对TSV 技术运用的升级与革新。 4 结束语 在后摩尔时代,随着芯片制程工艺逐渐逼近物理尺寸极限,3D封装正成为提升芯片集成度和性能的重要技术路线。硅通孔三维互连技术是实现3D 封装的重要技术。本文报道了硅通孔三维互连技术的核心工艺以及基于TSV形成的众多先进封装集成技术。形成TSV主要有Via-First、Via-Middle、Via-Last 3大技术路线。TSV 硅刻蚀、TSV 侧壁钝化、TSV 电镀等工艺是TSV技术的核心,是决定TSV性能的关键。本文还介绍了TSV 技术在3D WLCSP、3D FO、2.5D 封装和3DIC等先进封装领域的具体应用。人工智能时代的到来对芯片封装提出了更高的要求。TSV技术已成为人工智能、高性能计算及智能驾驶等领域飞速发展必不可少的重要基石。

    09-13 302浏览
  • 芯片测试:CP、FT、WAT详细解读

    半导体生产流程由晶圆制造,晶圆测试,芯片封装和封装后测试组成。而测试环节主要集中在CP(chip probing)、FT(Final Test)和WAT(Wafer Acceptance Test)三个环节。

    09-13 259浏览
  • 如何评估WSP封装技术的优势与应用

    3D晶圆级封装,英文简称(WLP),包括CIS发射器、MEMS封装、标准器件封装。是指在不改变封装体尺寸的前提下,在同一个封装体内于垂直方向叠放两个以上芯片的封装技术,它起源于快闪存储器(NOR/NAND)及SDRAM的叠层封装...

    09-12 135浏览
  • 光刻胶在电镀工艺中的重要性

    本篇文章将探讨用于晶圆级封装(WLP)的各项材料,从光刻胶中的树脂,到晶圆承载系统(WSS)中的粘合剂,这些材料均在晶圆级封装中发挥着重要作用。 光刻胶(Photoresists, PR) 由感光剂、树脂和溶剂构成, 用于形成电路图案和阻挡层 光刻胶是由可溶性聚合物和光敏材料组成的化合物,当其暴露在光线下时,会在溶剂中发生降解或融合等化学反应。在运用于晶圆级封装的光刻(Photolithography)工艺过程中时,光刻胶可用于创建电路图案,还可在后续电镀(Electroplating)1过程中通过电镀金属丝以形成阻挡层。光刻胶的成分如图1所示。 1电镀(Electroplating):一项晶圆级封装工艺,通过在阳极上发生氧化反应来产生电子,并将电子导入到作为阴极的电解质溶液中,使该溶液中的金属离子在晶圆表面被还原成金属。 ▲图1:光刻胶的成分和作用(ⓒ HANOL出版社) 根据光照的反应原理,光刻胶可分为正性光刻胶(Positive PR)和负性光刻胶(Negative PR)。对于正性光刻胶,曝光区域会发生降解反应,导致键合减弱;而未曝光区域则会发生交联(Cross-linking)2反应,使键合增强。因此,被曝光部分在显影过程中会被去除。然而对于负性光刻胶,曝光部分会产生交联反应并硬化,从而被完整保留下来;未曝光部分则被去除。负性光刻胶的粘度通常高于正性光刻胶,旋涂过程中的涂覆厚度更厚,因而通常被用于形成较高的焊接凸点(Solder Bump)。而正性光刻胶则至少需要涂覆两次。 2交联(Cross-link):通过化学键将聚合物链连接在一起的化学反应。 光刻过程中所使用的光源可根据波长进行分类,波长以纳米(nm)为单位。对于细微化(Scaling)的半导体而言,在光刻过程中通常采用波长较短的光源,以增强光刻效果,从而形成更精细的电路图案。因此,光敏化合物(PAC)用于制作曝光波长较长的g线(g-line)3光刻胶和i线(i-line)4光刻胶。而化学放大型抗蚀剂(CAR)5则用于制作曝光波长较短的光刻胶。晶圆级封装通常使用i线步进式光刻机(Stepper)6。 3g线(g-line):在汞光谱中,一条对应波长约为436纳米的谱线。 4i线(i-line):在汞光谱中,一条对应波长约为356纳米的谱线。 5化学放大型抗蚀剂(CAR):一种用于提高光刻胶材料光敏性的抗蚀剂。 6步进式光刻机(Stepper):用于曝光晶圆的设备。不同类型的设备用于不同精度晶圆的曝光,具体取决于对应的光源类型。 电镀液 由金属离子、酸和添加剂组成, 用于可控电镀工艺 电镀液(Plating Solution)是一种在电镀过程中使用的溶液,由金属离子、酸和添加剂组成。其中,金属离子是电镀过程中的待镀物质;酸作为溶剂,用于溶解溶液中的金属离子;多种添加剂用于增强电镀液和镀层的性能。可用于电镀的金属材料包括镍、金、铜、锡和锡银合金,这些金属以离子的形式存在于电镀液中。常见的酸性溶剂包括硫酸(Sulfuric Acid)和甲磺酸(Methanesulfonic Acid)。添加剂包括整平剂(Leveler)和细化剂(Grain Refiner),其中,整平剂用于防止材料堆积,提高电镀层平整性;而晶粒细化剂则可以防止电镀晶粒的横向生长,使晶粒变得更加细小。 ▲图2:电镀液中添加剂的作用(ⓒ HANOL出版社) 光刻胶剥离液(PR Stripper) 使用溶剂完全去除光刻胶 电镀工艺完成后,需使用光刻胶剥离液去除光刻胶,同时注意避免对晶圆造成化学性损伤或产生残留物。图3展示了光刻胶去胶工艺的过程。首先,当光刻胶剥离液与光刻胶表面接触时,两者会发生反应,使光刻胶膨胀;接下来,碱性剥离液开始分解并溶解膨胀的光刻胶。 ▲图3:光刻胶剥离液的去胶工序(ⓒ HANOL出版社) 刻蚀剂 使用酸、过氧化氢等材料精确溶解金属 晶圆级封装需要通过溅射(Sputtering)7工艺形成籽晶层(Seed Layer),即通过溅射或蒸馏的方式形成的一层用于电镀的薄金属。电镀和光刻胶去胶工序完成后,需使用酸性刻蚀剂来溶解籽晶层。 7溅射(Sputtering):一种用高能离子轰击金属靶材,使喷射出来的金属离子沉积到晶圆表面的物理气相沉积工艺。 图4展示了刻蚀剂的主要成分和作用。根据不同的待溶解金属,可选用不同刻蚀剂,如铜刻蚀剂、钛刻蚀剂、银刻蚀剂等。此类刻蚀剂应具有刻蚀选择性——在有选择性地溶解特定金属时,不会溶解或仅少量溶解其它金属;刻蚀剂还应具备较高的刻蚀速率,以提高制程效率;同时还应具备制程的均匀性,使其能够均匀地溶解晶圆上不同位置的金属。 ▲图4:刻蚀剂的主要成分和作用(ⓒ HANOL出版社) 溅射靶材 将金属沉积于基板上 溅射靶材是一种在物理气相沉积(PVD)8过程中,采用溅射工艺在晶圆表面沉积金属薄膜时使用的材料。图5展示了靶材的制造工序。首先,使用与待溅射金属层成分相同的原材料制成柱体;然后经过锻造、压制、和热处理最终形成靶材。 8物理气相沉积(PVD):一种采用物理方法将材料分离并沉积在特定表面的薄膜沉积工艺。 ▲图5:溅射靶材的制作工序(ⓒ HANOL出版社) 底部填充 使用环氧树脂模塑料(EMC)、 胶和薄膜填充孔洞,实现接缝保护 与倒片键合(Flip Chip Bonding)相同,通过填充基板与芯片间的空隙、或以凸点链接的芯片与芯片之间的空隙,底部填充增强了接合处的可靠性。用于填充凸点之间空间的底部填充工艺分为后填充(Post-Filling)和预填充(Pre-applied Underfill)两种。后填充是指完成倒片键合之后填充凸点之间的空间,而预填充则是指在完成倒片键合之前进行填充。此外,后填充可进一步细分为毛细管9底部填充(Capillary Underfill, CUF)和模塑底部填充(Molded Underfill, MUF)。完成倒片键合之后,采用毛细管底部填充工艺,利用毛细管在芯片侧面注入底部填充材料来填充凸点间隙,此种工艺增加了芯片和基板之间的间隙内表面张力。而模塑底部填充则是在模塑过程中使用环氧树脂模塑料(EMC)作为底部填充材料,从而简化工序。 9毛细管(Capillary):一种用于将液体封装材料输送到半导体封装体的极细管材。 在预填充过程中,芯片级封装和晶圆级封装采用的填充方法也有所不同。对于芯片级封装,会根据接合处的填充物,如非导电胶(NCP)或非导电膜(NCF),根据不同的填充物,其采用的工艺和材料也不尽不同;而对于晶圆级封装,非导电膜则被作为底部填充的主材。图6说明了不同类型的底部填充材料和相关工序。 ▲图6:不同类型的底部填充工艺(ⓒ HANOL出版社) 在倒片封装和硅通孔(TSV)型芯片堆叠工艺中,底部填充材料是保证接合处可靠性的关键组成部分。因此,相关材料需满足腔体填充、界面粘附、热膨胀系数(CTE)10、热导性和热阻性等等方面的特定要求。 10热膨胀系数(CTE):一种材料属性,用于表示材料在受热情况下的膨胀程度。 晶圆承载系统 使用载片、临时键合胶(TBA)、 承载薄膜(Mounting tape)实现封装组装 晶圆承载系统工艺需充分支持薄晶圆载片和临时键合胶等相关工序。载片脱粘后,需使用承载薄膜将正面和背面已形成凸点的薄晶圆固定在环形框架上。 在晶圆承载系统所使用的材料中,临时键合胶尤为重要。在键合晶圆与载片形成硅通孔封装时,临时键合胶必须在晶圆背面加工过程中保持较强的黏附力, 以防止晶圆上的凸点等受损。此外,需确保不会出现排气(Outgassing)11、空隙(Voids)12、分层(Delamination)13和溢出——键合过程中粘合剂从晶圆侧面渗出等现象。最后,载体还必须具备热稳定性和耐化学性,在保证载片易于去除的同时,确保不会留下任何残留物。 11排气(Outgassing):气体从液体或固体物质中释放出来。如果这种气体凝结在半导体器件表面,并对器件性能产生影响,则会导致半导体器件存在缺陷。 12空隙(Voids):因气泡的存在,在材料内部形成的空隙,有可能在高温工艺或脱粘过程中会膨胀,增加使器件发生损坏或故障的风险。 13分层(Delamination):半导体封装中两个相连的表面互相分离的现象。 尽管首选材料为硅载片,但玻璃载片的使用频率也很高。尤其是在脱粘过程中使用激光等光源的工艺时,必需使用玻璃载片。 半导体封装的基本构件 通过这些关于传统封装和晶圆级封装所需材料的文章介绍,我们不难发现,材料的类型和质量需不断与时俱进,以满足半导体行业的发展需求。下一篇文章,即后端工艺系列的最后一篇文章中,我们将着重介绍针半导体产品的各种可靠性测试。

    08-13 268浏览
  • 了解半导体设备供应链知识

    半导体设备和材料处于产业链的上游,是推动技术进步的关键环节。半导体设备和材料应用于集成电路、LED等多个领域,其中以集成电路的占比和技术难度最高。 01 IC制造工艺流程及其所需设备和材料 半导体产品的加工过程主要包括晶圆制造(前道,Front-End)和封装(后道,Back-End)测试,随着先进封装技术的渗透,出现介于晶圆制造和封装之间的加工环节,称为中道(Middle-End)。 由于半导体产品的加工工序多,所以在制造过程中需要大量的半导体设备和材料。在这里,我们以最为复杂的晶圆制造(前道)和传统封装(后道)工艺为例,说明制造过程所需要的设备和材料。 ▲集成电路产业链 IC晶圆生产线的主要生产区域及所需设备和材料 晶圆生产线可以分成7个独立的生产区域:扩散(Thermal Process)、光刻(Photo- lithography)、刻蚀(Etch)、离子注入(Ion Implant)、薄膜生长(Dielectric Deposition)、抛光(CMP)、金属化(Metalization)。 这7个主要的生产区域和相关步骤以及测量等都是在晶圆洁净厂房进行的。在这几个生产区都放置有若干种半导体设备,满足不同的需要。例如在光刻区,除了光刻机之外,还会有配套的涂胶/显影和测量设备。 ▲先进封装技术及中道(Middle-End)技术 ▲IC晶圆制造流程图 ▲IC晶圆生产线的主要生产区域及所需设备和材料 传统封装工艺流程 传统封装(后道)测试工艺可以大致分为背面减薄、晶圆切割、贴片、引线键合、模塑、电镀、切筋/成型和终测等8个主要步骤。与IC晶圆制造(前道)相比,后道封装相对简单,技术难度较低,对工艺环境、设备和材料的要求也低于晶圆制造。 ▲传统封装的主要步骤及所需设备和材料 02 主要半导体设备及所用材料 1) 氧化炉 设备功能:为半导体材料进行氧化处理,提供要求的氧化氛围,实现半导体预期设计的氧化处理过程,是半导体加工过程的不可缺少的一个环节。 所用材料:硅片、氧气、惰性气体等。 国外主要厂商:英国Thermco公司、德国Centrothermthermal Solutions GmbH Co.KG公司等。 国内主要厂商:七星电子、青岛福润德、中国电子科技集团第四十八所、青岛旭光仪表设备有限公司、中国电子科技集团第四十五所等。 2) PVD(物理气相沉积) 设备功能:通过二极溅射中一个平行于靶表面的封闭磁场,和靶表面上形成的正交电磁场,把二次电子束缚在靶表面特定区域,实现高离子密度和高能量的电离,把靶原子或分子高速率溅射沉积在基片上形成薄膜。 所用材料:靶材、惰性气体等。 国外主要厂商:美国应用材料公司、美国PVD公司、美国Vaportech公司、英国Teer公司、瑞士Platit公司、德国Cemecon公司等。 国内主要厂商:北方微电子、北京仪器厂、沈阳中科仪器、成都南光实业股份有限公司、中国电子科技集团第四十八所、科睿设备有限公司等。 3) PECVD 设备功能:在沉积室利用辉光放电,使反应气体电离后在衬底上进行化学反应,沉积半导体薄膜材料。 所用材料:特种气体(前驱物、惰性气体等)。 国外主要厂商:美国Proto Flex公司、日本Tokki公司、日本岛津公司、美国泛林半导体(Lam Research)公司、荷兰ASM国际公司等。 国内主要厂商:北方微电子、中国电子科技集团第四十五所、北京仪器厂等。 4) MOCVD 设备功能:以热分解反应方式在衬底上进行气相外延,生长各种Ⅲ-V族、Ⅱ-Ⅵ族化合物半导体以及它们的多元固溶体的薄层单晶材料。 所用材料:特种气体(MO源、惰性气体等)。 国外主要厂商:德国Aixtron爱思强公司、美国Veeco公司等。 国内主要厂商:中微半导体、中晟光电、理想能源设备等。 5) 光刻机 设备功能:将掩膜版上的图形转移到涂有光刻胶的衬底(硅片)上,致使光刻发生反应,为下一步加工(刻蚀或离子注入)做准备。 所需材料:光刻胶等 国外主要公司:荷兰阿斯麦(ASML)公司、日本尼康公司、日本Canon公司、美国ABM公司、德国SUSS公司、美国Ultratech公司、奥地利EVG公司等。 国内主要公司:上海微电装备(SMEE)、中国电子科技集团第四十八所、中国电子科技集团第四十五所、成都光机所等。 6) 涂胶显影机 设备功能:与光刻机联合作业,首先将光刻胶均匀地涂到晶圆上,满足光刻机的工作要求;然后,处理光刻机曝光后的晶圆,将曝光后的光刻胶中与紫外光发生化学反应的部分除去或保留下来。 所用材料:光刻胶、显影液等。 国外主要厂商:日本TEL、德国SUSS、奥地利EVG等。 国内主要厂商:沈阳芯源等。 7) 检测设备(CDSEM、OVL、AOI、膜厚等) 设备功能:通过表征半导体加工中的形貌与结构、检测缺陷,以达到监控半导体加工过程,提高生产良率的目的。 所用材料:特种气体等。 国外主要厂商:美国的KLA-Tencor、美国应用材料、日本Hitachi、美国Rudolph公司、以色列Camtek公司等。 国内主要公司:上海睿励科学仪器等。 8) 干法刻蚀机 设备功能:平板电极间施加高频电压,产生数百微米厚的离子层,放入式样,离子高速撞击式样,实现化学反应刻蚀和物理撞击,实现半导体的加工成型。 所用材料:特种气体等。 国外主要厂商:美国应用材料公司、美国Lam Research公司、韩国JuSung公司、韩国TES公司等。 国内主要公司:中微半导体、北方微电子、中国电子科技集团第四十八所等。 9) CMP(化学机械研磨) 设备功能:通过机械研磨和化学液体溶解“腐蚀”的综合作用,对被研磨体(半导体)进行研磨抛光。 所用材料:抛光液、抛光垫等。 国外主要厂商:美国Applied Materials公司、美国Rtec公司等。 国内主要厂商:华海清科、盛美半导体、中电45所等。 10) 晶圆键合机 设备功能:将两片晶圆互相结合,并使表面原子互相反应,产生共价键合,合二为一,是实现3D晶圆堆叠的重要设备。 所用材料:键合胶等。 国外主要厂商:德国SUSS、奥地利EVG等。 国内主要厂商:苏州美图、上海微电子装备。 11) 湿制程设备(电镀、清洗、湿法刻蚀等) 设备功能:1)电镀设备:将电镀液中的金属离子电镀到晶圆表面,以形成金属互连;2)清洗设备:去除晶圆表面的残余物、污染物等;3)湿法刻蚀设备:通过化学刻蚀液和被刻蚀物质之间的化学反应将被刻蚀物质剥离下来。 所用材料:电镀液、清洗液、刻蚀液等。 国外主要厂商:日本DNS、美国应用材料、美国Mattson(已被北京亦庄国投收购)公司等。 国内主要厂商:盛美半导体、上海新阳、沈阳芯源、苏州伟仕泰克等。 12) 离子注入 设备功能:对半导体材料表面附近区域进行掺杂。 所用材料:特种气体等。 国外主要厂商:美国AMAT公司等。 国内主要厂商:中国电子科技集团第四十八所、中科信等。 13) 晶圆测试(CP)系统 设备功能:通过探针与半导体器件的pad接触,进行电学测试,检测半导体的性能指标是否符合设计性能要求。 所用材料:NA。 国外主要厂商:爱德万测试、泰瑞达等。 国内主要厂商:北京华峰测控、上海宏测、绍兴宏邦、杭州长川科技、中电45所等 14) 晶圆减薄机 设备功能:通过抛磨,把晶圆厚度减薄。 所用材料:研磨液等。 国外主要厂商:日本DISCO公司、日本OKAMOTO公司、以色列Camtek公司等。 国内主要厂商:北京中电科、兰州兰新高科技产业股份有限公司、深圳方达研磨设备制造有限公司、深圳市金实力精密研磨机器制造有限公司、炜安达研磨设备有限公司、深圳市华年风科技有限公司等。 15) 晶圆划片机 设备功能:把晶圆切割成小片的Die。 所用材料:划片刀、划片液等。 国外主要厂商:日本DISCO公司等。 国内主要厂商:中国电子科技集团第四十五所、北京中电科、北京科创源光电技术有限公司、沈阳仪器仪表工艺研究所、西北机器有限公司(原国营西北机械厂709厂)、汇盛电子电子机械设备公司、兰州兰新高科技产业股份有限公司、大族激光等。 16) 引线键合机 设备功能:把半导体芯片上的Pad与管脚上的Pad,用导电金属线(金丝)链接起来。 所用材料:金属丝等。 国外主要厂商:K&S,ASM太平洋等。 国内主要厂商:中国电子科技集团第四十五所、北京创世杰科技发展有限公司、北京中电科、深圳市开玖自动化设备有限公司等。 

    08-09 192浏览
  • 集成电路芯片的制造全过程

    CPU是现代计算机的核心部件,又称为“微处理器”。对于PC而言,CPU的规格与频率常常被用来作为衡量一台电脑性能强弱重要指标。Intelx86架构已经经历了二十多个年头,而x86架构的CPU对我们大多数人的工作、生活影响颇为深远。 许多对电脑知识略知一二的朋友大多会知道CPU里面最重要的东西就是晶体管了,提高CPU的速度,最重要的一点说白了就是如何在相同的CPU面积里面放进去更加多的晶体管,由于CPU实在太小,太精密,里面组成了数目相当多的晶体管,所以人手是绝对不可能完成的,只能够通过光刻工艺来进行加工的。 这就是为什么一块CPU里面为什么可以数量如此之多的晶体管。晶体管其实就是一个双位的开关:即开和关。 如果您回忆起基本计算的时代,那就是一台计算机需要进行工作的全部。两种选择,开和关,对于机器来说即0和1。那么您将如何制作一个CPU呢?在今天的文章中,我们将一步一步的为您讲述中央处理器从一堆沙子到一个功能强大的集成电路芯片的全过程。 制造CPU的基本原料 如果问及CPU的原料是什么,大家都会轻而易举的给出答——硅。这是不假,但硅又来自哪里呢?其实就是那些最不起眼的沙子。难以想象吧,价格昂贵,结构复杂,功能强大,充满着神秘感的CPU竟然来自那根本一文不值的沙子。当然这中间必然要经历一个复杂的制造过程才行。不过不是随便抓一把沙子就可以做原料的,一定要精挑细选,从中提取出最最纯净的硅原料才行。试想一下,如果用那最最廉价而又储量充足的原料做成CPU,那么成品的质量会怎样,你还能用上像现在这样高性能的处理器吗? 除去硅之外,制造CPU还需要一种重要的材料就是金属。目前为止,铝已经成为制作处理器内部配件的主要金属材料,而铜则逐渐被淘汰,这是有一些原因的,在目前的CPU工作电压下,铝的电迁移特性要明显好于铜。所谓电迁移问题,就是指当大量电子流过一段导体时,导体物质原子受电子撞击而离开原有位置,留下空位,空位过多则会导致导体连线断开,而离开原位的原子停留在其它位置,会造成其它地方的短路从而影响芯片的逻辑功能,进而导致芯片无法使用。 除了这两样主要的材料之外,在芯片的设计过程中还需要一些种类的化学原料,它们起着不同的作用,这里不再赘述。 CPU制造的准备阶段 在必备原材料的采集工作完毕之后,这些原材料中的一部分需要进行一些预处理工作。而作为最主要的原料,硅的处理工作至关重要。首先,硅原料要进行化学提纯,这一步骤使其达到可供半导体工业使用的原料级别。而为了使这些硅原料能够满足集成电路制造的加工需要,还必须将其整形,这一步是通过溶化硅原料,然后将液态硅注入大型高温石英容器而完成的。 而后,将原料进行高温溶化。中学化学课上我们学到过,许多固体内部原子是晶体结构,硅也是如此。为了达到高性能处理器的要求,整块硅原料必须高度纯净,及单晶硅。然后从高温容器中采用旋转拉伸的方式将硅原料取出,此时一个圆柱体的硅锭就产生了。从目前所使用的工艺来看,硅锭圆形横截面的直径为200毫米。 不过现在intel和其它一些公司已经开始使用300毫米直径的硅锭了。在保留硅锭的各种特性不变的情况下增加横截面的面积是具有相当的难度的,不过只要企业肯投入大批资金来研究,还是可以实现的。intel为研制和生产300毫米硅锭而建立的工厂耗费了大约35亿美元,新技术的成功使得intel可以制造复杂程度更高,功能更强大的集成电路芯片。而200毫米硅锭的工厂也耗费了15亿美元。 在制成硅锭并确保其是一个绝对的圆柱体之后,下一个步骤就是将这个圆柱体硅锭切片,切片越薄,用料越省,自然可以生产的处理器芯片就更多。切片还要镜面精加工的处理来确保表面绝对光滑,之后检查是否有扭曲或其它问题。这一步的质量检验尤为重要,它直接决定了成品CPU的质量。 新的切片中要掺入一些物质而使之成为真正的半导体材料,而后在其上刻划代表着各种逻辑功能的晶体管电路。掺入的物质原子进入硅原子之间的空隙,彼此之间发生原子力的作用,从而使得硅原料具有半导体的特性。今天的半导体制造多选择CMOS工艺(互补型金属氧化物半导体)。 其中互补一词表示半导体中N型MOS管和P型MOS管之间的交互作用。而N和P在电子工艺中分别代表负极和正极。多数情况下,切片被掺入化学物质而形成P型衬底,在其上刻划的逻辑电路要遵循nMOS电路的特性来设计,这种类型的晶体管空间利用率更高也更加节能。同时在多数情况下,必须尽量限制pMOS型晶体管的出现,因为在制造过程的后期,需要将N型材料植入P型衬底当中,而这一过程会导致pMOS管的形成。 在掺入化学物质的工作完成之后,标准的切片就完成了。然后将每一个切片放入高温炉中加热,通过控制加温时间而使得切片表面生成一层二氧化硅膜。通过密切监测温度,空气成分和加温时间,该二氧化硅层的厚度是可以控制的。在intel的90纳米制造工艺中,门氧化物的宽度小到了惊人的5个原子厚度。这一层门电路也是晶体管门电路的一部分,晶体管门电路的作用是控制其间电子的流动,通过对门电压的控制,电子的流动被严格控制,而不论输入输出端口电压的大小。 准备工作的最后一道工序是在二氧化硅层上覆盖一个感光层。这一层物质用于同一层中的其它控制应用。这层物质在干燥时具有很好的感光效果,而且在光刻蚀过程结束之后,能够通过化学方法将其溶解并除去。 光刻蚀 这是目前的CPU制造过程当中工艺非常复杂的一个步骤,为什么这么说呢?光刻蚀过程就是使用一定波长的光在感光层中刻出相应的刻痕, 由此改变该处材料的化学特性。这项技术对于所用光的波长要求极为严格,需要使用短波长的紫外线和大曲率的透镜。刻蚀过程还会受到晶圆上的污点的影响。每一步刻蚀都是一个复杂而精细的过程。 设计每一步过程的所需要的数据量都可以用10GB的单位来计量,而且制造每块处理器所需要的刻蚀步骤都超过20步(每一步进行一层刻蚀)。而且每一层刻蚀的图纸如果放大许多倍的话,可以和整个纽约市外加郊区范围的地图相比,甚至还要复杂,试想一下,把整个纽约地图缩小到实际面积大小只有100个平方毫米的芯片上,那么这个芯片的结构有多么复杂,可想而知了吧。 当这些刻蚀工作全部完成之后,晶圆被翻转过来。短波长光线透过石英模板上镂空的刻痕照射到晶圆的感光层上,然后撤掉光线和模板。通过化学方法除去暴露在外边的感光层物质,而二氧化硅马上在陋空位置的下方生成。 掺杂 在残留的感光层物质被去除之后,剩下的就是充满的沟壑的二氧化硅层以及暴露出来的在该层下方的硅层。这一步之后,另一个二氧化硅层制作完成。然后,加入另一个带有感光层的多晶硅层。多晶硅是门电路的另一种类型。由于此处使用到了金属原料(因此称作金属氧化物半导体),多晶硅允许在晶体管队列端口电压起作用之前建立门电路。感光层同时还要被短波长光线透过掩模刻蚀。再经过一部刻蚀,所需的全部门电路就已经基本成型了。然后,要对暴露在外的硅层通过化学方式进行离子轰击,此处的目的是生成N沟道或P沟道。这个掺杂过程创建了全部的晶体管及彼此间的电路连接,没个晶体管都有输入端和输出端,两端之间被称作端口。 重复这一过程 从这一步起,你将持续添加层级,加入一个二氧化硅层,然后光刻一次。重复这些步骤,然后就出现了一个多层立体架构,这就是你目前使用的处理器的萌芽状态了。在每层之间采用金属涂膜的技术进行层间的导电连接。今天的P4处理器采用了7层金属连接,而Athlon64使用了9层,所使用的层数取决于最初的版图设计,并不直接代表着最终产品的性能差异。 测试 封装测试过程 接下来的几个星期就需要对晶圆进行一关接一关的测试,包括检测晶圆的电学特性,看是否有逻辑错误,如果有,是在哪一层出现的等等。而后,晶圆上每一个出现问题的芯片单元将被单独测试来确定该芯片有否特殊加工需要。 而后,整片的晶圆被切割成一个个独立的处理器芯片单元。在最初测试中,那些检测不合格的单元将被遗弃。这些被切割下来的芯片单元将被采用某种方式进行封装,这样它就可以顺利的插入某种接口规格的主板了。大多数intel和AMD的处理器都会被覆盖一个散热层。 在处理器成品完成之后,还要进行全方位的芯片功能检测。这一部会产生不同等级的产品,一些芯片的运行频率相对较高,于是打上高频率产品的名称和编号,而那些运行频率相对较低的芯片则加以改造,打上其它的低频率型号。这就是不同市场定位的处理器。而还有一些处理器可能在芯片功能上有一些不足之处。比如它在缓存功能上有缺陷(这种缺陷足以导致绝大多数的CPU瘫痪),那么它们就会被屏蔽掉一些缓存容量,降低了性能,当然也就降低了产品的售价,这就是Celeron和Sempron的由来。 当CPU被放进包装盒之前,一般还要进行最后一次测试,以确保之前的工作准确无误。根据前面确定的最高运行频率不同,它们被放进不同的包装,销往世界各地。 读完这些,相信你已经对CPU的制造流程有了一些比较深入的认识。CPU的制造,可以说是集多方面尖端科学技术之大成,CPU本身也就那么点 大,如果 把里面的材料分开拿出来卖,恐怕卖不了几个钱。然而CPU的制造成本是非常惊人的,从这里或许我们可以理解,为什么这东西卖这么贵了。 在测试这个环节很重要,比如你的处理器是6300还是6400就会在这个环节被划分,而 6300天生并不是6300,而是在测试之后,发现处理器不能稳定的在6400标准下工作,只能在6300标准下稳定工作,于是对处理器定义,锁频,定义 ID,封装,印上6300。 我们用AMD的来举例:同样核心的处理器都是一个生产线下来的,如果稳定工作在2.8GHz,1M*2的缓 存下,就被定义为5600+,如果缓存有瑕疵,切割有问题的那一半,成为5400+,如果缓存没问题而频率只能在2.6G通过测试,那么就是5200+, 如果缓存有瑕疵,就切割成为5000+…………一直把它测到3800+,如果还不稳定,要么想办法变成速龙64单核或者单核闪龙,或者就是出现过的ES版 的双核闪龙,如果出现批量不能工作在3800+条件下,而工作在3600+条件下,那么3600+就上市了,如果出现批量能工作在3G,1M*2条件下, 那么6000+就上市了,这就是为什么处理器总是中等型号的先上市,高端和底端的后上市,当然后期工厂可能会节约成本专门开出底端的流水线,专门生产低端 处理器,赛扬,闪龙的各种型号就相继上市,而高端的流水线因为个别处理器不稳定转变为底端处理器,例如将速龙64缓存切割就变为闪龙64。 intel Core i7生产全过程图解 沙子:硅是地壳内第二丰富的元素,而脱氧后的沙子(尤其是石英)最多包含25%的硅元素,以二氧化硅(SiO2)的形式存在,这也是半导体制造产业的基础。 硅熔炼:12英寸/300毫米晶圆级,下同。通过多步净化得到可用于半导体制造质量的硅,学名电子级硅(EGS),平均每一百万个硅原子中最多只有一个杂 质原子。此图展示了是如何通过硅净化熔炼得到大晶体的,最后得到的就是硅锭(Ingot)。 单晶硅锭:整体基本呈圆柱形,重约100千克,硅纯度 99.9999%。 硅锭切割:横向切割成圆形的单个硅片,也就是我们常说的晶圆 (Wafer)。顺便说,这下知道为什么晶圆都是圆形的了吧? 晶圆:切割出的晶圆经过抛光后变得几乎完美无瑕,表面甚至可以当镜子。事实上,Intel自己并不生产这种晶圆,而是从第三方半导体企业那里直接购买成 品,然后利用自己的生产线进一步加工,比如现在主流的45nm HKMG(高K金属栅极)。值得一提的是,Intel公司创立之初使用的晶圆尺寸只有2英寸/50毫米。 光刻胶(Photo Resist):图中蓝色部分就是在晶圆旋转过程中浇上去的光刻胶液体,类似制作传统胶片的那种。晶圆旋转可以让光刻胶铺的非常薄、非常平。 光刻:光刻胶层随后透过掩模(Mask)被曝光在紫外线(UV)之下,变得可溶,期间发生的化学反应类似按下机械相机快门那一刻胶片的变化。掩模上印着预 先设计好的电路图案,紫外线透过它照在光刻胶层上,就会形成微处理器的每一层电路图案。一般来说,在晶圆上得到的电路图案是掩模上图案的四分之一。 光刻:由此进入50-200纳米尺寸的晶体管级别。一块晶圆上可以切割出数百个处理器,不过从这里开始把视野缩小到其中一个上,展示如何制作晶体管等部 件。晶体管相当于开关,控制着电流的方向。现在的晶体管已经如此之小,一个针头上就能放下大约3000万个。 溶解光刻胶:光刻过程中曝光在紫外线下的光刻胶被溶解掉,清除后留下的图案和掩模上的一致 蚀刻:使用化学物质溶解掉暴露出来的晶圆部分,而剩下的光刻胶保护着不应该蚀刻的部分。 清除光刻胶:蚀刻完成后,光刻胶的使命宣告完成,全部清除后就可以看到设计好的电路图案。 光刻胶:再次浇上光刻胶(蓝色部分),然后光刻,并洗掉曝光的部分,剩下的光刻胶还是用来保护不会离子注入的那部分材料。 离子注入(Ion Implantation):在真空系统中,用经过加速的、要掺杂的原子的离子照射(注入)固体材料,从而在被注入的区域形成特殊的注入层,并改变这些区 域的硅的导电性。经过电场加速后,注入的离子流的速度可以超过30万千米每小时。 清除光刻胶:离子注入完成后,光刻胶也被清除,而注入区域(绿色部分)也已掺杂,注入了不同的原子。注意这时候的绿色和之前已经有所不同。 晶体管就绪:至此,晶体管已经基本完成。在绝缘材(品红色)上蚀刻出三个孔洞,并填充铜,以便和其它晶体管互连。 电镀:在晶圆上电镀一层硫酸铜,将铜离子沉淀到晶体管上。铜离子会从正极(阳极)走向负极(阴极)。 铜层:电镀完成后,铜离子沉积在晶圆表面,形成一个薄薄的铜层。 抛光:将多余的铜抛光掉,也就是磨光晶圆表面。 金属层:晶体管级别,六个晶体管的组合,大约500纳米。在不同晶体管之间形成复合互连金属层,具体布局取决于相应处理器所需要的不同功能性。芯片表面看 起来异常平滑,但事实上可能包含20多层复杂的电路,放大之后可以看到极其复杂的电路网络,形如未来派的多层高速公路系统。 晶圆测试:内核级别,大约10毫米/0.5英寸。图中是晶圆的局部,正在接受第一次功能性测试,使用参考电路图案和每一块芯片进行对比。 晶圆切片(Slicing):晶圆级别,300毫米/12英寸。将晶圆切割成块,每一块就是一个处理器的内核(Die)。 丢弃瑕疵内核:晶圆级别。测试过程中发现的有瑕疵的内核被抛弃,留下完好的准备进入下一步。 单个内核:内核级别。从晶圆上切割下来的单个内核,这里展示的是Core i7的核心。 封装:封装级别,20毫米/1英寸。衬底(基片)、内核、散热片堆叠在一起,就形成了我们看到的处理器的样子。衬底(绿色)相当于一个底座,并为处理器内 核提供电气与机械界面,便于与PC系统的其它部分交互。散热片(银色)就是负责内核散热的了。 等级测试:最后一次测试,可以鉴别出每一颗处理器的关键特性,比如最高频率、功耗、发热量等,并决定处理器的等级,比如适合做成最高端的Core i7-975 Extreme,还是低端型号Core i7-920。 装箱:根据等级测试结果将同样级别的处理器放在一起装运。 零售包装:制造、测试完毕的处理器要么批量交付给OEM厂商,要么放在包装盒里进入零售市场。 

    08-09 187浏览
  • 功率半导体的主要生产工艺流程

    功率半导体是一种能够在高电压和高电流下工作的微电子元器件,广泛应用于工业、交通运输、医疗、家电等各个领域。 功率半导体主要的生产工艺及流程如下。 1. 晶圆加工 晶圆加工是制造功率半导体器件的基础步骤。晶圆是由硅或碳化硅材料制成,并具有平坦表面,晶圆加工包括切割、抛光、清洗和蚀刻等步骤。 其中,切割过程主要是将大型晶体切割成小晶体,以提高晶片产量。抛光过程是为了去除晶圆表面的缺陷和污染物,使其表面更加平整。清洗过程则是为了去除表面残留的化学物质和微粒。最后,在蚀刻过程中,使用化学液体对晶圆进行腐蚀,形成所需的结构和图案。 2. 氧化物形成 氧化层是功率半导体器件中重要的一层结构。它的主要作用是提供电绝缘和防止材料氧化。 在制造过程中,首先需要在晶圆表面形成氧化层。通常是将晶圆暴露在氧化炉的高温环境下,并注入硅烷(SiH₄)和氧气等在晶圆表面反应生成二氧化硅(SiO₂)。 3. 物理气相沉积 物理气相沉积(PVD)利用等离子体技术,在晶圆表面沉积薄膜的过程。其利用受到粒子束轰击时物质表面原子溅射等现象,从而实现从源物质(靶材)到产品芯片上的可控的转移。 ▲电离碰撞工作原理 此工艺主要用于肖特基金属、正面金属和背面金属的沉积。溅射沉积可以使用多种材料,如金属、氧化铝等。 4. 光刻工艺 光刻工艺是采用感光胶薄膜作为图形转移媒介的微电子制造工艺。其基本原理是利用光线将感光胶薄膜刻画成所需的图形,然后以这种图形为刻蚀掩模,在硅或碳化硅基片上刻蚀出该图形。 ▲光刻机 | 图源网络 5. 离子注入 离子注入是集成电路制造的主要工艺之一,通过将离子束加速到一定能量范围(一般在keV量级),然后注入固体材料表层内,从而改变材料表层物理性质。在集成电路工艺中,固体材料通常是硅或碳化硅。主流工艺选择将铝(AL)作为P型掺杂,氮(N)作为N型掺杂。 ▲离子注入原理 完成离子注入后,产品片会进入高温激活炉中并在1700℃的工艺温度下激活,以修复晶格损伤。 6. 金属化和封装 金属化和封装是制造功率半导体器件的最后两个工艺步骤。 正面金属化是指在芯片表面镀上一层金属膜,形成二极管的正极,一般在4μm以上。背面金属化一般选择钛、镍、银作为三层背面金属,主要是为了增加元件柔软性,降低芯片的热阻抗,以进一步提高性能,厚度在10μm以内。 随后,元器件将被封装在适当的外壳中,以确保器件的可靠性和稳定性。 ▲SMC碳化硅功率器件产品

    08-07 211浏览
  • 晶圆制造工艺流程

    晶圆制造工艺流程 1、 表面清洗 2、 初次氧化 3、 CVD(Chemical Vapor deposition) 法沉积一层 Si3N4 (Hot CVD 或 LPCVD) 。 (1)常压 CVD (Normal Pressure CVD) (2)低压 CVD (Low Pressure CVD) (3)热 CVD (Hot CVD)/(thermal CVD) (4)电浆增强 CVD (Plasma Enhanced CVD) (5)MOCVD (Metal Organic (6)外延生长法 (LPE) 4、 涂敷光刻胶 (1)光刻胶的涂敷 (2)预烘 (3)曝光 (4)显影 (5)后烘 (6)腐蚀 (7)光刻胶的去除 5、 此处用干法氧化法将氮化硅去除 6 、离子布植将硼离子 (B+3) 透过 SiO2 膜注入衬底,形成 P 型阱 7、 去除光刻胶,放高温炉中进行退火处理 8、 用热磷酸去除氮化硅层,掺杂磷 (P+5) 离子,形成 N 型阱 9、 退火处理,然后用 HF 去除 SiO2 层 10、干法氧化法生成一层 SiO2 层,然后 LPCVD 沉积一层氮化硅 11、利用光刻技术和离子刻蚀技术,保留下栅隔离层上面的氮化硅层 12、湿法氧化,生长未有氮化硅保护的 SiO2 层,形成 PN 之间的隔离区 13、热磷酸去除氮化硅,然后用 HF 溶液去除栅隔离层位置的 SiO2 ,并重新生成品质更好 的 SiO2 薄膜 , 作为栅极氧化层。 14、LPCVD 沉积多晶硅层,然后涂敷光阻进行光刻,以及等离子蚀刻技术,栅极结构,并氧 化生成 SiO2 保护层。 15、表面涂敷光阻,去除 P 阱区的光阻,注入砷 (As) 离子,形成 NMOS 的源漏极。用同样的 方法,在 N 阱区,注入 B 离子形成 PMOS 的源漏极。 16、利用 PECVD 沉积一层无掺杂氧化层,保护元件,并进行退火处理。 17、沉积掺杂硼磷的氧化层 18、濺镀第一层金属 (1) 薄膜的沉积方法根据其用途的不同而不同,厚度通常小于 1um 。(2) 真空蒸发法( Evaporation Deposition ) (3) 溅镀( Sputtering Deposition ) 19、光刻技术定出 VIA 孔洞,沉积第二层金属,并刻蚀出连线结构。然后,用 PECVD 法氧 化层和氮化硅保护层。20、光刻和离子刻蚀,定出 PAD 位置 21、最后进行退火处理,以保证整个 Chip 的完整和连线的连接性 晶圆制造总的工艺流程 芯片的制造过程可概分为晶圆处理工序(Wafer Fabrication)、晶圆针测工序(Wafer Probe)、构装工序(Packaging)、测试工序(InitialTestandFinalTest)等几个步骤。其中晶圆处理工序和晶圆针测工序为前段(Front End)工序,而构装工序、测试工序为后 段(Back End)工序。 1、晶圆处理工序:本工序的主要工作是在晶圆上制作电路及电子元件(如晶体管、电容、 逻辑开关等),其处理程序通常与产品种类和所使用的技术有关,但一般基本步骤是先将晶 圆适当清洗,再在其表面进行氧化及化学气相沉积,然后进行涂膜、曝光、显影、蚀刻、离 子植入、金属溅镀等反复步骤,最终在晶圆上完成数层电路及元件加工与制作。 2、晶圆针测工序:经过上道工序后,晶圆上就形成了一个个的小格,即晶粒,一般情况下, 为便于测试,提高效率,同一片晶圆上制作同一品种、规格的产品;但也可根据需要制作几 种不同品种、规格的产品。在用针测(Probe)仪对每个晶粒检测其电气特性,并将不合格 的晶粒标上记号后,将晶圆切开,分割成一颗颗单独的晶粒,再按其电气特性分类,装入不 同的托盘中,不合格的晶粒则舍弃。 3、构装工序:就是将单个的晶粒固定在塑胶或陶瓷制的芯片基座上,并把晶粒上蚀刻出的 一些引接线端与基座底部伸出的插脚连接,以作为与外界电路板连接之用,最后盖上塑胶盖 板,用胶水封死。其目的是用以保护晶粒避免受到机械刮伤或高温破坏。到此才算制成了一 块集成电路芯片(即我们在电脑里可以看到的那些黑色或褐色,两边或四边带有许多插脚或 引线的矩形小块)。 4、测试工序:芯片制造的最后一道工序为测试,其又可分为一般测试和特殊测试,前者是 将封装后的芯片置于各种环境下测试其电气特性,如消耗功率、运行速度、耐压度等。经测 试后的芯片,依其电气特性划分为不同等级。而特殊测试则是根据客户特殊需求的技术参数, 从相近参数规格、品种中拿出部分芯片,做有针对性的专门测试,看是否能满足客户的特殊 需求,以决定是否须为客户设计专用芯片。经一般测试合格的产品贴上规格、型号及出厂日 期等标识的标签并加以包装后即可出厂。而未通过测试的芯片则视其达到的参数情况定作降 级品或废品 一些半导体制造名词解释 ETCH何谓蚀刻(Etch)? 答:将形成在晶圆表面上的薄膜全部,或特定处所去除至必要厚度的制程。 蚀刻种类: 答:(1) 干蚀刻(2) 湿蚀刻 蚀刻对象依薄膜种类可分为: 答:poly,oxide, metal 何谓 dielectric 蚀刻(介电质蚀刻)? 答:Oxide etch and nitride etch 半导体中一般介电质材质为何? 答:氧化硅/氮化硅 何谓湿式蚀刻 答:利用液相的酸液或溶剂;将不要的薄膜去除 何谓电浆 Plasma? 答:电浆是物质的第四状态.带有正,负电荷及中性粒子之总和;其中包含电子,正离子,负离子,中性分子,活性基及发散光子等,产生电浆的方法可使用高温或高电压. 何谓干式蚀刻? 答:利用 plasma 将不要的薄膜去除 何谓 Under-etching(蚀刻不足)? 答:系指被蚀刻材料,在被蚀刻途中停止造成应被去除的薄膜仍有残留 何谓 Over-etching(过蚀刻 ) 答:蚀刻过多造成底层被破坏 何谓 Etch rate(蚀刻速率) 答:单位时间内可去除的蚀刻材料厚度或深度 何谓 Seasoning(陈化处理)   答:是在蚀刻室的清净或更换零件后,为要稳定制程条件,使用仿真(dummy) 晶圆进 行数次的蚀刻循环。 Asher 的主要用途: 答:光阻去除 Wet bench dryer 功用为何? 答:将晶圆表面的水份去除 列举目前 Wet bench dry 方法: 答:(1) Spin Dryer (2) Marangoni dry (3) IPA Vapor Dry 何谓 Spin Dryer 答:利用离心力将晶圆表面的水份去除 何谓 Maragoni Dryer 答:利用表面张力将晶圆表面的水份去除 何谓 IPA Vapor Dryer 答:利用 IPA(异丙醇)和水共溶原理将晶圆表面的水份去除 测 Particle 时,使用何种测量仪器? 答:Tencor Surfscan 测蚀刻速率时,使用何者量测仪器? 答:膜厚计,测量膜厚差值 何谓 AEI 答:After Etching Inspection 蚀刻后的检查 AEI 目检 Wafer 须检查哪些项目: 答:(1) 正面颜色是否异常及刮伤 (2) 有无缺角及 Particle (3)刻号是否正确 金属蚀刻机台转非金属蚀刻机台时应如何处理? 答:清机防止金属污染问题 金属蚀刻机台 asher 的功用为何? 答:去光阻及防止腐蚀 金属蚀刻后为何不可使用一般硫酸槽进行清洗? 答:因为金属线会溶于硫酸中 "Hot Plate"机台是什幺用途? 答:烘烤 Hot Plate 烘烤温度为何? 答:90~120 度 C 何种气体为 Poly ETCH 主要使用气体? 答:Cl2, HBr, HCl 用于 Al 金属蚀刻的主要气体为 答:Cl2, BCl3 用于 W 金属蚀刻的主要气体为 答:SF6 何种气体为 oxide vai/contact ETCH 主要使用气体? 答:C4F8, C5F8, C4F6 硫酸槽的化学成份为: 答:H2SO4/H2O2 AMP 槽的化学成份为: 答:NH4OH/H2O2/H2O UV curing 是什幺用途? 答:利用 UV 光对光阻进行预处理以加强光阻的强度 "UV curing"用于何种层次? 答:金属层 何谓 EMO? 答:机台紧急开关 EMO 作用为何?   答:当机台有危险发生之顾虑或已不可控制,可紧急按下   湿式蚀刻门上贴有那些警示标示? 答:(1) 警告.内部有严重危险.严禁打开此门 (2) 机械手臂危险. 严禁打开此门 (3) 化 学药剂危险. 严禁打开此门 遇化学溶液泄漏时应如何处置? 答:严禁以手去测试漏出之液体. 应以酸碱试纸测试. 并寻找泄漏管路. 遇 IPA 槽着火时应如何处置?? 答:立即关闭 IPA 输送管路并以机台之灭火器灭火及通知紧急应变小组 BOE 槽之主成份为何? 答:HF(氢氟酸)与 NH4F(氟化铵). BOE 为那三个英文字缩写 ? 答:Buffered Oxide Etcher 。 有毒气体之阀柜(VMB)功用为何? 答:当有毒气体外泄时可利用抽气装置抽走,并防止有毒气体漏出 电浆的频率一般 13.56 MHz,为何不用其它频率? 答:为避免影响通讯品质,目前只开放特定频率,作为产生电浆之用,如 380~420KHz ,13.56MHz,2.54GHz 等 何谓 ESC(electrical static chuck) 答:利用静电吸附的原理, 将 Wafer 固定在极板 (Substrate) 上 Asher 主要气体为 答:O2 Asher 机台进行蚀刻最关键之参数为何? 答:温度 简述 TURBO PUMP 原理 答:利用涡轮原理,可将压力抽至 10-6TORR 热交换器(HEAT EXCHANGER)之功用为何? 答:将热能经由介媒传输,以达到温度控制之目地 简述 BACKSIDE HELIUM COOLING 之原理? 答:藉由氦气之良好之热传导特性,能将芯片上之温度均匀化 ORIENTER 之用途为何? 答:搜寻 notch 边,使芯片进反应腔的位置都固定,可追踪问题 简述 EPD 之功用 答:侦测蚀刻终点;End point detector 利用波长侦测蚀刻终点 何谓 MFC? 答:mass flow controler 气体流量控制器;用于控制 反应气体的流量 GDP 为何? 答:气体分配盘(gas distribution plate) GDP 有何作用? 答:均匀地将气体分布于芯片上方 何谓 isotropic etch? 答:等向性蚀刻;侧壁侧向蚀刻的机率均等 何谓 anisotropic etch? 答:非等向性蚀刻;侧壁侧向蚀刻的机率少 何谓 etch 选择比? 答:不同材质之蚀刻率比值 何谓 AEI CD? 答:蚀刻后特定图形尺寸之大小,特征尺寸(Critical Dimension) 何谓 CD bias? 答:蚀刻 CD 减蚀刻前黄光 CD 简述何谓田口式实验计划法? 答:利用混合变因安排辅以统计归纳分析 何谓反射功率?   答:蚀刻过程中,所施予之功率并不会完全地被反应腔内接收端所接受,会有部份值反射 掉,此反射之量,称为反射功率 Load Lock 之功能为何? 答:Wafers 经由 loadlock 后再进出反应腔,确保反应腔维持在真空下不受粉尘及湿度 的影响. 厂务供气系统中何谓 Bulk Gas ? 答:Bulk Gas 为大气中普遍存在之制程气体, 如 N2, O2, Ar 等.   厂务供气 系统中何谓 Inert Gas? 答:Inert Gas 为一些特殊无强烈毒性的气体, 如 NH3, CF4, CHF3, SF6 等. 厂务供气系统中何谓 Toxic Gas ? 答:Toxic Gas 为具有强烈危害人体的毒性气体, 如 SiH4, Cl2, BCl3 等. 机台维修时,异常告示排及机台控制权应如何处理? 答:将告示牌切至异常且将机台控制权移至维修区以防有人误动作 冷却器的冷却液为何功用 ? 答:传导热 Etch 之废气有经何种方式处理 ? 答:利用水循环将废气溶解之后排放至废酸槽 何谓 RPM? 答:即 Remote Power Module,系统总电源箱. 火灾异常处理程序 答:(1) 立即警告周围人员. (2) 尝试 3 秒钟灭火. (3) 按下 EMO 停止机台. (4) 关闭 VMB Valve 并通知厂务. (5) 撤离. 一氧化碳(CO)侦测器警报异常处理程序 答:(1) 警告周围人员. (2) 按 Pause 键,暂止 Run 货. (3) 立即关闭 VMB 阀,并通知厂务. (4) 进行测漏. 高压电击异常处理程序 答:(1) 确认安全无虑下,按 EMO 键(2) 确认受伤原因(误触电源,漏水等)(3) 处理受伤 人员 T/C (传送 Transfer Chamber) 之功能为何 ? 答:提供一个真空环境, 以利机器手臂在反应腔与晶舟间传送 Wafer,节省时间. 机台 PM 时需佩带面具否 答:是,防毒面具 机台停滞时间过久 run 货前需做何动作 答:Seasoning(陈化处理) 何谓 Seasoning(陈化处理) 答:是在蚀刻室的清净或更换零件后,为要稳定制程条件,使用仿真(dummy) 晶圆进 行数次的蚀刻循环。 何谓日常测机 答:机台日常检点项目, 以确认机台状况正常 何谓 WAC (Waferless Auto Clean) 答:无 wafer 自动干蚀刻清机 何谓 Dry Clean 答:干蚀刻清机 日常测机量测 etch rate 之目的何在? 答:因为要蚀刻到多少厚度的 film,其中一个重要参数就是蚀刻率   操作酸 碱溶液时,应如何做好安全措施? 答:(1) 穿戴防酸碱手套围裙安全眼镜或护目镜(2) 操作区备有清水与水管以备不时之 需(3) 操作区备有吸酸棉及隔离带 如何让 chamber 达到设定的温度? 答:使用 heater 和 chiller Chiller 之功能为何? 答:用以帮助稳定 chamber 温度 如何在 chamber 建立真空? 答:(1) 首先确立 chamber parts 组装完整(2) 以 dry pump 作第一阶段的真空建立(3) 当圧力到达 100mTD寺再以 turbo pump 抽真空至 1mT 以下 真空计的功能为何? 答:侦测 chamber 的压力,确保 wafer 在一定的压力下 process Transfer module 之 robot 功用为何? 答:将 wafer 传进 chamber 与传出 chamber 之用 何谓 MTBC? (mean time between clean) 答:上一次 wet clean 到这次 wet clean 所经过的时间 RF Generator 是否需要定期检验? 答:是需要定期校验;若未校正功率有可能会变化;如此将影响电浆的组成 为何需要对 etch chamber 温度做监控? 答:因为温度会影响制程条件;如 etching rate/均匀度 为何需要注意 dry pump exhaust presure (pump 出口端的气压)? 答:因为气压若太大会造成 pump 负荷过大;造成 pump 跳掉,影响 chamber 的压力,直接 影响到 run 货品质 为何要做漏率测试? (Leak rate ) 答: (1) 在 PM 后 PUMP Down 1~2 小时后;为确保 chamber Run 货时,无大气进入 chambe 影响 chamber GAS 成份(2) 在日常测试时,为确保 chamber 内来自大气的泄漏源,故需测漏 机台发生 Alarm 时应如何处理? 答:(1) 若为火警,立即圧下 EMO(紧急按钮),并灭火且通知相关人员与主管(2) 若是一 般异常,请先检查 alarm 讯息再判定异常原因,进而解决问题,若未能处理应立即通知主要负 责人 蚀刻机台废气排放分为那几类? 答:一般无毒性废气/有毒酸性废气排放 蚀刻机台使用的电源为多少伏特(v)? 答:208V 三相 式蚀刻机台分为那几个部份? 答:(1) Load/Unload 端 (2) transfer module (3) Chamber process module (4) 真 空系统 (5) GAS system (6) RF system 在半导体程制中,湿制程(wet processing)分那二大頪? 答:(1) 晶圆洗净(wafer cleaning) (2) 湿蚀刻(wet etching). 晶圆洗净(wafer cleaning)的设备有那几种? 答:(1) Batch type(immersion type): a) carrier type b)Cassetteless type (2) Single wafer type(spray type) 晶圆洗净(wafer cleaning)的目的为何? 答:去除金属杂质,有机物污染及微尘. 半导体制程有那些污染源? 答:(1) 微粒子(2) 金属(3) 有机物(4) 微粗糙(5) 天生的氧化物 RCA 清洗制程目的为何? 答:于微影照像后,去除光阻,清洗晶圆,并做到酸碱中和,使晶圆可进行下一个制程. 洗净溶液 APM(SC-1)--> NH4OH:H2O2:H2O 的目的为何? 答:去除微粒子及有机物 洗净溶液 SPM--> H2SO4:H2O2:H2O 的目的为何? 答:去除有机物 洗净溶液 HPM(SC-2)--> HCL:H2O2:H2O 的目的为何? 答:去除金属 洗净溶液 DHF--> HF:H2O(1:100~1:500)的目的为何? 答:去除自然氧化膜及金属 洗净溶液 FPM--> HF:H2O2:H2O 的目的为何? 答:去除自然氧化膜及金属 洗净溶液 BHF(BOE)--> HF:NH4F 的目的为何? 答:氧化膜湿式蚀刻 洗净溶液 热磷酸--> H3PO4 的目的为何? 答:氮化膜湿式蚀刻 0.25 微米逻辑组件有那五种标准清洗方法? 答:(1) 扩散前清洗(2) 蚀刻后清洗(3) 植入后清洗(4) 沉积前洗清 (5) CMP 后清洗 超音波刷洗(ultrasonic scrubbing)目的为何? 答:去除不溶性的微粒子污染 何谓晶圆盒(POD)清洗?   答:利用去离子水和界面活性剂(surfactant),除去晶圆盒表面的污染.   高压喷洒(high pressure spray)或刷洗去微粒子在那些制程之后? 答:(1) 锯晶圆(wafer saw) (2) 晶圆磨薄(wafer lapping) (3) 晶圆拋光(wafer polishing) (4) 化学机械研磨 晶圆湿洗净设备有那几种? 答:(1) 多槽全自动洗净设备 (2) 单槽清洗设备 (3) 单晶圆清洗设备.   单槽 清洗设备的优点? 答:(1) 较佳的环境制程与微粒控制能力. (2) 化学品与纯水用量少. (3) 设备调整弹 性度高. 单槽清洗设备的缺点? 答:(1) 产能较低. (2) 晶圆间仍有互相污染 单晶圆清洗设备未来有那些须要突破的地方? 答:产能低与设备成熟度

    07-30 182浏览
正在努力加载更多...
广告