FPGA/CPLD
首页 FPGA/CPLD
特权ilove314 2014-1-30 09:06
以太网,FPGA就一定能搞定之TCP客户端模式传输实例—下
  以太网,FPGA就一定能搞定之TCP客户端模式传输实例—下 ...
用户1647523 2014-1-27 16:48
基于FPGA的数据采集系统
    当今,在电气测控中往往需要对模拟信号,数字信号进行采集。平时我们用的MCU(51系列,AVR系列,PIC系列)和DSP往往因为采集数据量大而不能完全满足我 ...
coyoo 2014-1-27 10:31
【博客大赛】对Quartus II Fitter中寄存器打包的理解
           寄存器打包是Quartus II软件布图工具中的一个优化选项,由AUTO_PACKED_REGISTERS控制。所谓寄存器打包就是将寄存器和组合逻辑LUT、DSP ...
用户450945 2014-1-26 02:11
南京韦福 EDA 试验箱
   EDA6000.pdf
用户450945 2014-1-25 20:55
eda实验课---------频率计
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity plj is   port ( start:in std_logic;          ...
用户450945 2014-1-25 20:07
基于Python定点平方根的FPGA实现
0 引言 FPGA作为可编程的逻辑器件,它具有功耗低、便于修改、调试等特点,并能在上面实时完成大量的算法,平方根运算作为信号和图像处理中的常见算法,目前在 ...
用户401140 2014-1-25 10:20
ubuntu 64位安装modelsim10.2c
昨晚在ubuntu 14.04 alpha2上安装modelsim 10.2c,没成功,今天终于搞定了。整理一下遇到的问题和解决办法。 1、安装:配置好jre后,双击install.linux, ...
coyoo 2014-1-24 12:21
【博客大赛】一张图让你了解Quartus II的Fitter
大家可以针对下图展开讨论,谈谈自己对于altera的布局布线工具的理解。    
特权ilove314 2014-1-24 10:41
以太网,FPGA就一定能搞定之TCP客户端模式传输实例--上
  以太网,FPGA就一定能搞定之TCP客户端模式传输实例--上 以太网,FPGA就一定能搞定系列之UDP传输实例 本系列博文节选自特权同学的FPGA开发电子 ...
coyoo 2014-1-22 13:10
【博客大赛】高级设计第一章源代码验证完毕,提供下载
前面对于原书第一章中所有源代码在QuartusII环境进行了综合,确认这些代码都是可综合的,另外最主要是跟原作者在Synplify中综合的结果进行比较。   ...
coyoo 2014-1-21 09:27
《深入理解Altera FPGA 应用设计》新书已上架
亚马逊地址:  http://www.amazon.cn/%E5%8D%9A%E5%AE%A2%E8%97%8F%E7%BB%8F%E9%98%81%E4%B8%9B%E4%B9%A6-%E6%B7%B1%E5%85%A5%E7%90%86%E8%A7%A3Altera ...
用户443437 2014-1-20 23:47
位图(BMP)文件大小的计算
  例题一:一幅未经压缩800×600像素的256色的风景图片的大小约为( )KB。   A、1228  B、480  C、2400  D、307 ...
用户1703205 2014-1-20 20:16
时序优化之关键路径重组实例”
时序优化之关键路径重组实例
coyoo 2014-1-20 11:55
【博客大赛】为ModelSim独立版本提取ALTERA库(续)
为 ModelSim 独立版本提取 ALTERA 库(续) 王敏志 概述                  几年前总结过一篇 ...
用户1669570 2014-1-19 21:29
FPGA
由于黑金资料很不错,愿与大家共享之,固将地址附上,请下载 http://www.heijin.org/forum.php ,共同进步,自己的资料也在整理中,新年将至,看来又得耽搁 ...
关闭 站长推荐上一条 /3 下一条