FPGA/CPLD
首页 FPGA/CPLD
用户313737 2013-4-16 11:40
[博客大赛]在Modelsim中使用脚本进行仿真【二】—— 常用脚本命令
  加载Xilinx仿真库之后,下面编写脚本进行仿真,这里附上常用的脚本命令,以供参考: 文件名:simulation.do /***************** ...
用户1669062 2013-4-16 10:23
关于ISE中使用DSP48 marco的问题
在ISE14.2中使用IP核,在Core Generator中新建工程,选用Virtex-5的板子,型号为xc5vlx110t-1ff1136,然后找到DSP48 marco,配置参数,生成IP核后,在Verilog ...
用户313737 2013-4-15 23:40
脉冲展宽与压缩电路【Verilog HDL】
采用时钟计数方法实现的单稳态脉冲展宽电路,能够有效、方便地对输入脉冲进行展宽和压缩。单稳态展宽电路的主要功能组成部件是单稳态触发器。单稳态触发器的 ...
用户313737 2013-4-15 23:34
【转】FPGA中常犯设计错误列表
  这是一个在设计中常犯的错误列表,这些错误常使得你的设计不可靠或速度较慢,为了提高你的设计性能和提高速度的可靠性,你必须确定你的设计通过所有 ...
用户313737 2013-4-15 21:02
【转】modelsim使用命令
  下面是我们的Tcl仿真步骤: 启动ModelSim SE, 首先看到在在ModelSim SE右边的窗口有ModelSim 这样的提示符。在提示符后,顺序运行以下命令: ...
coyoo 2013-4-15 16:22
【博客大赛】基于FPGA的TDC那些事之精度
基于 FPGA 的 TDC 那些事之精度 王敏志 概述          TDC 的精度是我们设计 TDC 时最关注的因素,那么如 ...
用户1539618 2013-4-15 14:28
[博客大赛]ChipScope的两种使用方法
ChipScope 的两种使用方法 ChipScope 是 xilinx 提供的调试工具,在国内教材中普遍介绍的使用方法都是直接在 ise 工程中添加相关的 ip ...
sunyzz 2013-4-15 09:26
【博客大赛】为什么在Verilog设计中一定要用同步而不能用异步时序逻辑
同步时序逻辑是指表示状态的寄存器组的值只可能在唯一确定的触发条件发生时刻改变。只能由时钟的正跳沿或负跳沿触发的状态机就是一例。always @(posedge clock) ...
wxg1988 2013-4-14 19:16
【博客大赛】基于fpga的自动售票机
基于fpga的自动售票机,暂时一部分源码而已 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ch is  port(a ...
用户381337 2013-4-14 00:12
评论:@我的FPGA笔记 博客中提到的“[博客大赛]锁相环锁定后的相位问题”
讲的不错,我对这方便不是很熟悉,我得下去详细研究。。
用户313737 2013-4-12 23:29
DDR3 Data Exchange Solution on Zynq7000
实验中通过Zynq7000 PL采集ADC数据,处理后经PS HP0 - DDR3 Controller 存储至DDR3,解决方案有两种: 方案一:PL端采集ADC的数据直接经PS HP0 - ...
sunyzz 2013-4-12 10:56
【转】FPGA是ASIC设计者的一道普通难题?
过去 10 年来,FPGA 供应商在克服 FPGA 缺点方面取得了很大的进步,并从 ASIC 市场赢得了份额。在 90 年代末,FPGA 供应商增加了器件的容量,以抗衡中等规模 ASI ...
用户1539618 2013-4-12 10:18
[博客大赛]锁相环锁定后的相位问题
锁相环锁定后的相位问题(一) 昨天公司来了一个客户,交谈中论及锁相环。他认为锁相环在锁定后输出的信号与参考信号只是频率相同,而相位上存 ...
用户1539618 2013-4-12 10:18
[博客大赛]锁相环锁定后的相位问题(二)
  锁相环锁定后的相位问题(二)   只能继续寻找资料,于是找到了 CD4046 的 datasheet (这个 datasheet 是扫描版的很不 ...
sunyzz 2013-4-11 18:06
【博客大赛】FPGA???
以下涉及本人的一些小观点,如有不当之处还望谅解^~^  FPGA难学吗??? 这个问题我没有资格回答,我本科就接触FPGA了,大二的时候,那时学习VH ...
关闭 站长推荐上一条 /3 下一条